{"diffoscope-json-version": 1, "source1": "/srv/reproducible-results/rbuild-debian/r-b-build.8qGLek14/b1/yosys_0.52-2_amd64.changes", "source2": "/srv/reproducible-results/rbuild-debian/r-b-build.8qGLek14/b2/yosys_0.52-2_amd64.changes", "unified_diff": null, "details": [{"source1": "Files", "source2": "Files", "unified_diff": "@@ -1,7 +1,7 @@\n \n cb22d3abb813d5959a5dacfc1e1654dd 29617060 debug optional yosys-abc-dbgsym_0.52-2_amd64.deb\n cce86565ab8b7e572c94472a56e41c5c 4944476 electronics optional yosys-abc_0.52-2_amd64.deb\n 43d6aac13d0080aa536817e6ffe582e2 93184252 debug optional yosys-dbgsym_0.52-2_amd64.deb\n 090c469e5f6816822aebcec2a83fb9fa 136292 electronics optional yosys-dev_0.52-2_amd64.deb\n- 4ebb2ab39819e811228ee53f1c59c33c 2916668 doc optional yosys-doc_0.52-2_all.deb\n+ 555e2f80380eadc07eab786d184ecfa7 2916756 doc optional yosys-doc_0.52-2_all.deb\n cedce728a2f4e0438cf9cd82c1257a36 6226136 electronics optional yosys_0.52-2_amd64.deb\n"}, {"source1": "yosys-doc_0.52-2_all.deb", "source2": "yosys-doc_0.52-2_all.deb", "unified_diff": null, "details": [{"source1": "file list", "source2": "file list", "unified_diff": "@@ -1,3 +1,3 @@\n -rw-r--r-- 0 0 0 4 2025-05-04 16:37:33.000000 debian-binary\n -rw-r--r-- 0 0 0 888 2025-05-04 16:37:33.000000 control.tar.xz\n--rw-r--r-- 0 0 0 2915588 2025-05-04 16:37:33.000000 data.tar.xz\n+-rw-r--r-- 0 0 0 2915676 2025-05-04 16:37:33.000000 data.tar.xz\n"}, {"source1": "control.tar.xz", "source2": "control.tar.xz", "unified_diff": null, "details": [{"source1": "control.tar", "source2": "control.tar", "unified_diff": null, "details": [{"source1": "./md5sums", "source2": "./md5sums", "unified_diff": null, "details": [{"source1": "./md5sums", "source2": "./md5sums", "comments": ["Files differ"], "unified_diff": null}]}]}]}, {"source1": "data.tar.xz", "source2": "data.tar.xz", "unified_diff": null, "details": [{"source1": "data.tar", "source2": "data.tar", "unified_diff": null, "details": [{"source1": "file list", "source2": "file list", "unified_diff": "@@ -1,13 +1,13 @@\n drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./\n drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/\n drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/\n drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/doc/\n drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys/\n--rw-r--r-- 0 root (0) root (0) 3060899 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys/yosyshqyosys.pdf\n+-rw-r--r-- 0 root (0) root (0) 3060948 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys/yosyshqyosys.pdf\n drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys-doc/\n -rw-r--r-- 0 root (0) root (0) 2906 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys-doc/changelog.Debian.gz\n -rw-r--r-- 0 root (0) root (0) 18593 2025-04-09 05:38:42.000000 ./usr/share/doc/yosys-doc/changelog.gz\n -rw-r--r-- 0 root (0) root (0) 23698 2025-04-11 09:16:27.000000 ./usr/share/doc/yosys-doc/copyright\n drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/doc-base/\n -rw-r--r-- 0 root (0) root (0) 245 2023-08-27 13:27:37.000000 ./usr/share/doc-base/yosys-doc.yosys-manual\n lrwxrwxrwx 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys/manual.pdf -> yosyshqyosys.pdf\n"}, {"source1": "./usr/share/doc/yosys/yosyshqyosys.pdf", "source2": "./usr/share/doc/yosys/yosyshqyosys.pdf", "unified_diff": null, "details": [{"source1": "pdftotext {} -", "source2": "pdftotext {} -", "unified_diff": "@@ -541,27 +541,27 @@\n 10.286xilinx_srl - Xilinx shift register extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . 610\n 10.287xprop - formal x propagation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 610\n 10.288zinit - add inverters so all FF are zero-initialized . . . . . . . . . . . . . . . . . . . . . . . . . 611\n Bibliography\n \n 613\n \n-Internal cell reference\n+Property Index\n \n 615\n \n-Property Index\n+Internal cell reference\n \n-619\n+617\n \n-Command Reference\n+Tag Index\n \n 621\n \n-Tag Index\n+Command Reference\n \n 625\n \n ix\n \n \fx\n \n@@ -43522,14 +43522,127 @@\n interconnect synthesis for heterogeneous coarse-grain reconfigurable logic. In FDL Proceeding of\n the 2012 Forum on Specification and Design Languages, 194\u2013201. 2012.\n \n 614\n \n Bibliography\n \n+\fPROPERTY INDEX\n+\n+is_\n+is_evaluable, 390\n+$alu, 287\n+$fa, 288\n+$lcu, 289\n+$macc, 290\n+$macc_v2, 293\n+$add, 240\n+$and, 241\n+$bweqx, 242\n+$div, 242\n+$divfloor, 243\n+$eq, 244\n+$eqx, 244\n+$ge, 245\n+$gt, 245\n+$le, 246\n+$logic_and, 247\n+$logic_or, 247\n+$lt, 248\n+$mod, 248\n+$modfloor, 249\n+$mul, 250\n+$ne, 251\n+$nex, 251\n+$or, 252\n+$pow, 252\n+$shift, 253\n+$shiftx, 254\n+$shl, 255\n+$shr, 255\n+$sshl, 256\n+$sshr, 256\n+$sub, 257\n+$xnor, 258\n+$xor, 258\n+$_ANDNOT_, 317\n+$_AOI3_, 318\n+$_AOI4_, 318\n+$_MUX16_, 319\n+$_MUX4_, 320\n+$_MUX8_, 320\n+\n+$_NMUX_, 321\n+$_OAI3_, 321\n+$_OAI4_, 322\n+$_ORNOT_, 323\n+$_AND_, 313\n+$_BUF_, 313\n+$_MUX_, 314\n+$_NAND_, 314\n+$_NOR_, 314\n+$_NOT_, 315\n+$_OR_, 315\n+$_XNOR_, 316\n+$_XOR_, 316\n+$allconst, 302\n+$allseq, 302\n+$anyconst, 302\n+$anyseq, 303\n+$assert, 304\n+$assume, 304\n+$cover, 304\n+$equiv, 305\n+$fair, 305\n+$initstate, 306\n+$live, 306\n+$_TBUF_, 389\n+$lut, 295\n+$sop, 296\n+$bmux, 259\n+$bwmux, 260\n+$demux, 260\n+$mux, 261\n+$pmux, 261\n+$tribuf, 262\n+$specify2, 297\n+$specify3, 298\n+$specrule, 301\n+$buf, 234\n+$logic_not, 234\n+$neg, 235\n+$not, 235\n+$pos, 236\n+$reduce_and, 236\n+\n+615\n+\n+\fYosysHQ Yosys, Version 0.52\n+\n+$reduce_bool, 237\n+$reduce_or, 237\n+$reduce_xnor, 238\n+$reduce_xor, 238\n+$concat, 311\n+$slice, 312\n+\n+xx-aware, 390\n+$bweqx, 242\n+$eqx, 244\n+$nex, 251\n+x-output, 390\n+$div, 242\n+$mod, 248\n+$shiftx, 254\n+$pmux, 261\n+\n+616\n+\n+Property Index\n+\n \fINTERNAL CELL REFERENCE\n \n Internal cell\n $alu, 287\n $fa, 288\n $lcu, 289\n $macc, 290\n@@ -43610,15 +43723,15 @@\n $sop, 296\n $mem, 276\n $mem_v2, 278\n $meminit, 281\n $meminit_v2, 282\n $memrd, 282\n \n-615\n+617\n \n \fYosysHQ Yosys, Version 0.52\n \n $memrd_v2, 283\n $memwr, 283\n $memwr_v2, 284\n $bmux, 259\n@@ -43668,15 +43781,15 @@\n $_DFFE_PN0P_, 337\n $_DFFE_PN1N_, 337\n $_DFFE_PN1P_, 337\n $_DFFE_PN_, 338\n $_DFFE_PP0N_, 338\n $_DFFE_PP0P_, 339\n $_DFFE_PP1N_, 339\n-616\n+618\n \n $_DFFE_PP1P_, 340\n $_DFFE_PP_, 340\n $_DFFSRE_NNNN_, 340\n $_DFFSRE_NNNP_, 341\n $_DFFSRE_NNPN_, 342\n $_DFFSRE_NNPP_, 342\n@@ -43791,134 +43904,338 @@\n $reduce_bool, 237\n $reduce_or, 237\n $reduce_xnor, 238\n $reduce_xor, 238\n $concat, 311\n $slice, 312\n \n-617\n+619\n \n \fYosysHQ Yosys, Version 0.52\n \n-618\n+620\n \n Internal cell reference\n \n-\fPROPERTY INDEX\n+\fTAG INDEX\n \n-is_\n-is_evaluable, 390\n-$alu, 287\n-$fa, 288\n-$lcu, 289\n-$macc, 290\n-$macc_v2, 293\n-$add, 240\n-$and, 241\n-$bweqx, 242\n-$div, 242\n-$divfloor, 243\n-$eq, 244\n-$eqx, 244\n-$ge, 245\n-$gt, 245\n-$le, 246\n-$logic_and, 247\n-$logic_or, 247\n-$lt, 248\n-$mod, 248\n-$modfloor, 249\n-$mul, 250\n-$ne, 251\n-$nex, 251\n-$or, 252\n-$pow, 252\n-$shift, 253\n-$shiftx, 254\n-$shl, 255\n-$shr, 255\n-$sshl, 256\n-$sshr, 256\n-$sub, 257\n-$xnor, 258\n-$xor, 258\n-$_ANDNOT_, 317\n-$_AOI3_, 318\n-$_AOI4_, 318\n-$_MUX16_, 319\n-$_MUX4_, 320\n-$_MUX8_, 320\n+abc (cmd/abc), 393\n+abc9 (cmd/abc9 ), 396\n+abc9_exe (cmd/abc9_exe), 399\n+abc9_ops (cmd/abc9_ops), 401\n+abc_new (cmd/abc_new), 403\n+abstract (cmd/abstract), 404\n+add (cmd/add), 405\n+aigmap (cmd/aigmap), 406\n+alumacc (cmd/alumacc), 406\n+anlogic_eqn (cmd/anlogic_eqn), 406\n+anlogic_fixcarry (cmd/anlogic_fixcarry), 407\n+assertpmux (cmd/assertpmux), 407\n+async2sync (cmd/async2sync), 407\n+attrmap (cmd/attrmap), 407\n+attrmvcp (cmd/attrmvcp), 408\n+autoname (cmd/autoname), 409\n+blackbox (cmd/blackbox), 409\n+bmuxmap (cmd/bmuxmap), 409\n+booth (cmd/booth), 409\n+box_derive (cmd/box_derive), 410\n+bufnorm (cmd/bufnorm), 410\n+bugpoint (cmd/bugpoint), 412\n+bwmuxmap (cmd/bwmuxmap), 413\n+cd (cmd/cd), 413\n+cellmatch (cmd/cellmatch), 414\n+check (cmd/check), 414\n+chformal (cmd/chformal), 415\n+chparam (cmd/chparam), 416\n+chtype (cmd/chtype), 416\n+clean (cmd/clean), 416\n+clean_zerowidth (cmd/clean_zerowidth), 417\n+clk2fflogic (cmd/clk2fflogic), 417\n+clkbufmap (cmd/clkbufmap), 417\n+clockgate (cmd/clockgate), 418\n+connect (cmd/connect), 419\n+connect_rpc (cmd/connect_rpc), 419\n+connwrappers (cmd/connwrappers), 420\n+coolrunner2_fixup (cmd/coolrunner2_fixup), 421\n+coolrunner2_sop (cmd/coolrunner2_sop), 421\n+copy (cmd/copy), 421\n+cover (cmd/cover), 421\n+cutpoint (cmd/cutpoint), 422\n \n-$_NMUX_, 321\n-$_OAI3_, 321\n-$_OAI4_, 322\n-$_ORNOT_, 323\n-$_AND_, 313\n-$_BUF_, 313\n-$_MUX_, 314\n-$_NAND_, 314\n-$_NOR_, 314\n-$_NOT_, 315\n-$_OR_, 315\n-$_XNOR_, 316\n-$_XOR_, 316\n-$allconst, 302\n-$allseq, 302\n-$anyconst, 302\n-$anyseq, 303\n-$assert, 304\n-$assume, 304\n-$cover, 304\n-$equiv, 305\n-$fair, 305\n-$initstate, 306\n-$live, 306\n-$_TBUF_, 389\n-$lut, 295\n-$sop, 296\n-$bmux, 259\n-$bwmux, 260\n-$demux, 260\n-$mux, 261\n-$pmux, 261\n-$tribuf, 262\n-$specify2, 297\n-$specify3, 298\n-$specrule, 301\n-$buf, 234\n-$logic_not, 234\n-$neg, 235\n-$not, 235\n-$pos, 236\n-$reduce_and, 236\n+debug (cmd/debug), 422\n+delete (cmd/delete), 423\n+deminout (cmd/deminout), 423\n+demuxmap (cmd/demuxmap), 423\n+design (cmd/design), 423\n+dffinit (cmd/dffinit), 425\n+dfflegalize (cmd/dfflegalize), 425\n+dfflibmap (cmd/dfflibmap), 426\n+dffunmap (cmd/dffunmap), 427\n+dft_tag (cmd/dft_tag), 427\n+dump (cmd/dump), 428\n+echo (cmd/echo), 428\n+edgetypes (cmd/edgetypes), 428\n+efinix_fixcarry (cmd/efinix_fixcarry), 429\n+equiv_add (cmd/equiv_add), 429\n+equiv_induct (cmd/equiv_induct), 429\n+equiv_make (cmd/equiv_make), 430\n+equiv_mark (cmd/equiv_mark), 430\n+equiv_miter (cmd/equiv_miter), 430\n+equiv_opt (cmd/equiv_opt), 431\n+equiv_purge (cmd/equiv_purge), 432\n+equiv_remove (cmd/equiv_remove), 432\n+equiv_simple (cmd/equiv_simple), 433\n+equiv_status (cmd/equiv_status), 433\n+equiv_struct (cmd/equiv_struct), 433\n+eval (cmd/eval), 434\n+example_dt (cmd/example_dt), 434\n+exec (cmd/exec), 435\n+expose (cmd/expose), 435\n+extract (cmd/extract), 436\n+extract_counter (cmd/extract_counter), 438\n+extract_fa (cmd/extract_fa), 438\n+extract_reduce (cmd/extract_reduce), 439\n+extractinv (cmd/extractinv), 439\n+flatten (cmd/flatten), 439\n+flowmap (cmd/flowmap), 440\n+fmcombine (cmd/fmcombine), 441\n+fminit (cmd/fminit), 442\n+formalff (cmd/formalff ), 442\n+freduce (cmd/freduce), 443\n+fsm (cmd/fsm), 444\n+fsm_detect (cmd/fsm_detect), 444\n \n-619\n+621\n \n \fYosysHQ Yosys, Version 0.52\n \n-$reduce_bool, 237\n-$reduce_or, 237\n-$reduce_xnor, 238\n-$reduce_xor, 238\n-$concat, 311\n-$slice, 312\n+fsm_expand (cmd/fsm_expand), 445\n+fsm_export (cmd/fsm_export), 445\n+fsm_extract (cmd/fsm_extract), 446\n+fsm_info (cmd/fsm_info), 446\n+fsm_map (cmd/fsm_map), 446\n+fsm_opt (cmd/fsm_opt), 446\n+fsm_recode (cmd/fsm_recode), 446\n+fst2tb (cmd/fst2tb), 447\n+future (cmd/future), 448\n+gatemate_foldinv (cmd/gatemate_foldinv), 448\n+glift (cmd/glift), 448\n+greenpak4_dffinv (cmd/greenpak4_dffinv), 450\n+help (cmd/help), 450\n+hierarchy (cmd/hierarchy), 450\n+hilomap (cmd/hilomap), 452\n+history (cmd/history), 452\n+ice40_braminit (cmd/ice40_braminit), 452\n+ice40_dsp (cmd/ice40_dsp), 453\n+ice40_opt (cmd/ice40_opt), 453\n+ice40_wrapcarry (cmd/ice40_wrapcarry), 453\n+insbuf (cmd/insbuf ), 454\n+internal_stats (cmd/internal_stats), 454\n+iopadmap (cmd/iopadmap), 454\n+jny (cmd/jny), 455\n+json (cmd/json), 455\n+keep_hierarchy (cmd/keep_hierarchy), 456\n+lattice_gsr (cmd/lattice_gsr), 456\n+libcache (cmd/libcache), 457\n+license (cmd/license), 457\n+log (cmd/log), 458\n+logger (cmd/logger), 458\n+ls (cmd/ls), 459\n+ltp (cmd/ltp), 459\n+lut2mux (cmd/lut2mux), 460\n+maccmap (cmd/maccmap), 460\n+memory (cmd/memory), 460\n+memory_bmux2rom (cmd/memory_bmux2rom), 460\n+memory_bram (cmd/memory_bram), 461\n+memory_collect (cmd/memory_collect), 463\n+memory_dff (cmd/memory_dff ), 463\n+memory_libmap (cmd/memory_libmap), 463\n+memory_map (cmd/memory_map), 464\n+memory_memx (cmd/memory_memx), 464\n+memory_narrow (cmd/memory_narrow), 465\n+memory_nordff (cmd/memory_nordff ), 465\n+memory_share (cmd/memory_share), 465\n+memory_unpack (cmd/memory_unpack), 465\n+microchip_dffopt (cmd/microchip_dffopt), 466\n+microchip_dsp (cmd/microchip_dsp), 466\n+miter (cmd/miter), 466\n+mutate (cmd/mutate), 467\n+muxcover (cmd/muxcover), 469\n+muxpack (cmd/muxpack), 469\n+nlutmap (cmd/nlutmap), 470\n+622\n \n-xx-aware, 390\n-$bweqx, 242\n-$eqx, 244\n-$nex, 251\n-x-output, 390\n-$div, 242\n-$mod, 248\n-$shiftx, 254\n-$pmux, 261\n+nx_carry (cmd/nx_carry), 470\n+onehot (cmd/onehot), 470\n+opt (cmd/opt), 470\n+opt_clean (cmd/opt_clean), 471\n+opt_demorgan (cmd/opt_demorgan), 471\n+opt_dff (cmd/opt_dff ), 472\n+opt_expr (cmd/opt_expr), 472\n+opt_ffinv (cmd/opt_ffinv), 473\n+opt_lut (cmd/opt_lut), 473\n+opt_lut_ins (cmd/opt_lut_ins), 473\n+opt_mem (cmd/opt_mem), 474\n+opt_mem_feedback (cmd/opt_mem_feedback), 474\n+opt_mem_priority (cmd/opt_mem_priority), 474\n+opt_mem_widen (cmd/opt_mem_widen), 475\n+opt_merge (cmd/opt_merge), 475\n+opt_muxtree (cmd/opt_muxtree), 475\n+opt_reduce (cmd/opt_reduce), 475\n+opt_share (cmd/opt_share), 476\n+paramap (cmd/paramap), 476\n+peepopt (cmd/peepopt), 477\n+plugin (cmd/plugin), 477\n+pmux2shiftx (cmd/pmux2shiftx), 478\n+pmuxtree (cmd/pmuxtree), 478\n+portarcs (cmd/portarcs), 478\n+portlist (cmd/portlist), 479\n+prep (cmd/prep), 479\n+printattrs (cmd/printattrs), 480\n+proc (cmd/proc), 481\n+proc_arst (cmd/proc_arst), 481\n+proc_clean (cmd/proc_clean), 482\n+proc_dff (cmd/proc_dff ), 482\n+proc_dlatch (cmd/proc_dlatch), 482\n+proc_init (cmd/proc_init), 482\n+proc_memwr (cmd/proc_memwr), 483\n+proc_mux (cmd/proc_mux), 483\n+proc_prune (cmd/proc_prune), 483\n+proc_rmdead (cmd/proc_rmdead), 483\n+proc_rom (cmd/proc_rom), 483\n+qbfsat (cmd/qbfsat), 484\n+ql_bram_merge (cmd/ql_bram_merge), 485\n+ql_bram_types (cmd/ql_bram_types), 485\n+ql_dsp_io_regs (cmd/ql_dsp_io_regs), 485\n+ql_dsp_macc (cmd/ql_dsp_macc), 486\n+ql_dsp_simd (cmd/ql_dsp_simd), 486\n+ql_ioff (cmd/ql_ioff ), 486\n+read (cmd/read), 486\n+read_aiger (cmd/read_aiger), 487\n+read_blif (cmd/read_blif ), 488\n+read_json (cmd/read_json), 488\n+read_liberty (cmd/read_liberty), 488\n+read_rtlil (cmd/read_rtlil), 489\n+read_verilog (cmd/read_verilog), 490\n+read_verilog_file_list\n+(cmd/read_verilog_file_list), 493\n \n-620\n+Tag Index\n \n-Property Index\n+\fYosysHQ Yosys, Version 0.52\n+\n+read_xaiger2 (cmd/read_xaiger2 ), 493\n+recover_names (cmd/recover_names), 494\n+rename (cmd/rename), 494\n+rmports (cmd/rmports), 495\n+sat (cmd/sat), 495\n+scatter (cmd/scatter), 499\n+scc (cmd/scc), 499\n+scratchpad (cmd/scratchpad), 500\n+script (cmd/script), 500\n+select (cmd/select), 501\n+setattr (cmd/setattr), 505\n+setenv (cmd/setenv), 506\n+setparam (cmd/setparam), 506\n+setundef (cmd/setundef ), 506\n+share (cmd/share), 507\n+shell (cmd/shell), 507\n+show (cmd/show), 508\n+shregmap (cmd/shregmap), 510\n+sim (cmd/sim), 511\n+simplemap (cmd/simplemap), 513\n+splice (cmd/splice), 514\n+splitcells (cmd/splitcells), 514\n+splitnets (cmd/splitnets), 515\n+sta (cmd/sta), 515\n+stat (cmd/stat), 515\n+submod (cmd/submod), 516\n+supercover (cmd/supercover), 517\n+synth (cmd/synth), 517\n+synth_achronix (cmd/synth_achronix), 519\n+synth_anlogic (cmd/synth_anlogic), 520\n+synth_coolrunner2 (cmd/synth_coolrunner2 ), 522\n+synth_easic (cmd/synth_easic), 524\n+synth_ecp5 (cmd/synth_ecp5 ), 525\n+synth_efinix (cmd/synth_efinix), 529\n+synth_fabulous (cmd/synth_fabulous), 530\n+synth_gatemate (cmd/synth_gatemate), 534\n+synth_gowin (cmd/synth_gowin), 537\n+synth_greenpak4 (cmd/synth_greenpak4 ), 539\n+synth_ice40 (cmd/synth_ice40 ), 541\n+synth_intel (cmd/synth_intel), 544\n+synth_intel_alm (cmd/synth_intel_alm), 547\n+synth_lattice (cmd/synth_lattice), 549\n+synth_microchip (cmd/synth_microchip), 553\n+synth_nanoxplore (cmd/synth_nanoxplore), 556\n+synth_nexus (cmd/synth_nexus), 559\n+synth_quicklogic (cmd/synth_quicklogic), 562\n+synth_sf2 (cmd/synth_sf2 ), 565\n+synth_xilinx (cmd/synth_xilinx), 567\n+synthprop (cmd/synthprop), 571\n+tcl (cmd/tcl), 571\n+techmap (cmd/techmap), 572\n+tee (cmd/tee), 575\n+test_abcloop (cmd/test_abcloop), 575\n+test_autotb (cmd/test_autotb), 576\n+Tag Index\n+\n+test_cell (cmd/test_cell), 576\n+test_generic (cmd/test_generic), 578\n+test_pmgen (cmd/test_pmgen), 578\n+torder (cmd/torder), 578\n+trace (cmd/trace), 579\n+tribuf (cmd/tribuf ), 579\n+uniquify (cmd/uniquify), 579\n+verific (cmd/verific), 580\n+verilog_defaults (cmd/verilog_defaults), 582\n+verilog_defines (cmd/verilog_defines), 582\n+viz (cmd/viz), 583\n+wbflip (cmd/wbflip), 584\n+wrapcell (cmd/wrapcell), 584\n+wreduce (cmd/wreduce), 585\n+write_aiger (cmd/write_aiger), 585\n+write_aiger2 (cmd/write_aiger2 ), 586\n+write_blif (cmd/write_blif ), 586\n+write_btor (cmd/write_btor), 588\n+write_cxxrtl (cmd/write_cxxrtl), 588\n+write_edif (cmd/write_edif ), 593\n+write_file (cmd/write_file), 594\n+write_firrtl (cmd/write_firrtl), 594\n+write_functional_cxx\n+(cmd/write_functional_cxx), 594\n+write_functional_rosette\n+(cmd/write_functional_rosette), 595\n+write_functional_smt2\n+(cmd/write_functional_smt2 ), 595\n+write_intersynth (cmd/write_intersynth), 595\n+write_jny (cmd/write_jny), 595\n+write_json (cmd/write_json), 596\n+write_rtlil (cmd/write_rtlil), 601\n+write_simplec (cmd/write_simplec), 601\n+write_smt2 (cmd/write_smt2 ), 602\n+write_smv (cmd/write_smv), 605\n+write_spice (cmd/write_spice), 605\n+write_table (cmd/write_table), 606\n+write_verilog (cmd/write_verilog), 606\n+write_xaiger (cmd/write_xaiger), 608\n+write_xaiger2 (cmd/write_xaiger2 ), 608\n+xilinx_dffopt (cmd/xilinx_dffopt), 609\n+xilinx_dsp (cmd/xilinx_dsp), 609\n+xilinx_srl (cmd/xilinx_srl), 610\n+xprop (cmd/xprop), 610\n+zinit (cmd/zinit), 611\n+\n+623\n+\n+\fYosysHQ Yosys, Version 0.52\n+\n+624\n+\n+Tag Index\n \n \fCOMMAND REFERENCE\n \n Command\n abc, 393\n abc9, 396\n abc9_exe, 399\n@@ -44000,15 +44317,15 @@\n flowmap, 440\n fmcombine, 441\n fminit, 442\n formalff, 442\n freduce, 443\n fsm, 444\n \n-621\n+625\n \n \fYosysHQ Yosys, Version 0.52\n \n fsm_detect, 444\n fsm_expand, 445\n fsm_export, 445\n fsm_extract, 446\n@@ -44058,15 +44375,15 @@\n memory_unpack, 465\n microchip_dffopt, 466\n microchip_dsp, 466\n miter, 466\n mutate, 467\n muxcover, 469\n muxpack, 469\n-622\n+626\n \n nlutmap, 470\n nx_carry, 470\n onehot, 470\n opt, 470\n opt_clean, 471\n opt_demorgan, 471\n@@ -44217,327 +44534,10 @@\n write_xaiger2, 608\n xilinx_dffopt, 609\n xilinx_dsp, 609\n xilinx_srl, 610\n xprop, 610\n zinit, 611\n \n-623\n-\n-\fYosysHQ Yosys, Version 0.52\n-\n-624\n-\n-Command Reference\n-\n-\fTAG INDEX\n-\n-abc (cmd/abc), 393\n-abc9 (cmd/abc9 ), 396\n-abc9_exe (cmd/abc9_exe), 399\n-abc9_ops (cmd/abc9_ops), 401\n-abc_new (cmd/abc_new), 403\n-abstract (cmd/abstract), 404\n-add (cmd/add), 405\n-aigmap (cmd/aigmap), 406\n-alumacc (cmd/alumacc), 406\n-anlogic_eqn (cmd/anlogic_eqn), 406\n-anlogic_fixcarry (cmd/anlogic_fixcarry), 407\n-assertpmux (cmd/assertpmux), 407\n-async2sync (cmd/async2sync), 407\n-attrmap (cmd/attrmap), 407\n-attrmvcp (cmd/attrmvcp), 408\n-autoname (cmd/autoname), 409\n-blackbox (cmd/blackbox), 409\n-bmuxmap (cmd/bmuxmap), 409\n-booth (cmd/booth), 409\n-box_derive (cmd/box_derive), 410\n-bufnorm (cmd/bufnorm), 410\n-bugpoint (cmd/bugpoint), 412\n-bwmuxmap (cmd/bwmuxmap), 413\n-cd (cmd/cd), 413\n-cellmatch (cmd/cellmatch), 414\n-check (cmd/check), 414\n-chformal (cmd/chformal), 415\n-chparam (cmd/chparam), 416\n-chtype (cmd/chtype), 416\n-clean (cmd/clean), 416\n-clean_zerowidth (cmd/clean_zerowidth), 417\n-clk2fflogic (cmd/clk2fflogic), 417\n-clkbufmap (cmd/clkbufmap), 417\n-clockgate (cmd/clockgate), 418\n-connect (cmd/connect), 419\n-connect_rpc (cmd/connect_rpc), 419\n-connwrappers (cmd/connwrappers), 420\n-coolrunner2_fixup (cmd/coolrunner2_fixup), 421\n-coolrunner2_sop (cmd/coolrunner2_sop), 421\n-copy (cmd/copy), 421\n-cover (cmd/cover), 421\n-cutpoint (cmd/cutpoint), 422\n-\n-debug (cmd/debug), 422\n-delete (cmd/delete), 423\n-deminout (cmd/deminout), 423\n-demuxmap (cmd/demuxmap), 423\n-design (cmd/design), 423\n-dffinit (cmd/dffinit), 425\n-dfflegalize (cmd/dfflegalize), 425\n-dfflibmap (cmd/dfflibmap), 426\n-dffunmap (cmd/dffunmap), 427\n-dft_tag (cmd/dft_tag), 427\n-dump (cmd/dump), 428\n-echo (cmd/echo), 428\n-edgetypes (cmd/edgetypes), 428\n-efinix_fixcarry (cmd/efinix_fixcarry), 429\n-equiv_add (cmd/equiv_add), 429\n-equiv_induct (cmd/equiv_induct), 429\n-equiv_make (cmd/equiv_make), 430\n-equiv_mark (cmd/equiv_mark), 430\n-equiv_miter (cmd/equiv_miter), 430\n-equiv_opt (cmd/equiv_opt), 431\n-equiv_purge (cmd/equiv_purge), 432\n-equiv_remove (cmd/equiv_remove), 432\n-equiv_simple (cmd/equiv_simple), 433\n-equiv_status (cmd/equiv_status), 433\n-equiv_struct (cmd/equiv_struct), 433\n-eval (cmd/eval), 434\n-example_dt (cmd/example_dt), 434\n-exec (cmd/exec), 435\n-expose (cmd/expose), 435\n-extract (cmd/extract), 436\n-extract_counter (cmd/extract_counter), 438\n-extract_fa (cmd/extract_fa), 438\n-extract_reduce (cmd/extract_reduce), 439\n-extractinv (cmd/extractinv), 439\n-flatten (cmd/flatten), 439\n-flowmap (cmd/flowmap), 440\n-fmcombine (cmd/fmcombine), 441\n-fminit (cmd/fminit), 442\n-formalff (cmd/formalff ), 442\n-freduce (cmd/freduce), 443\n-fsm (cmd/fsm), 444\n-fsm_detect (cmd/fsm_detect), 444\n-\n-625\n-\n-\fYosysHQ Yosys, Version 0.52\n-\n-fsm_expand (cmd/fsm_expand), 445\n-fsm_export (cmd/fsm_export), 445\n-fsm_extract (cmd/fsm_extract), 446\n-fsm_info (cmd/fsm_info), 446\n-fsm_map (cmd/fsm_map), 446\n-fsm_opt (cmd/fsm_opt), 446\n-fsm_recode (cmd/fsm_recode), 446\n-fst2tb (cmd/fst2tb), 447\n-future (cmd/future), 448\n-gatemate_foldinv (cmd/gatemate_foldinv), 448\n-glift (cmd/glift), 448\n-greenpak4_dffinv (cmd/greenpak4_dffinv), 450\n-help (cmd/help), 450\n-hierarchy (cmd/hierarchy), 450\n-hilomap (cmd/hilomap), 452\n-history (cmd/history), 452\n-ice40_braminit (cmd/ice40_braminit), 452\n-ice40_dsp (cmd/ice40_dsp), 453\n-ice40_opt (cmd/ice40_opt), 453\n-ice40_wrapcarry (cmd/ice40_wrapcarry), 453\n-insbuf (cmd/insbuf ), 454\n-internal_stats (cmd/internal_stats), 454\n-iopadmap (cmd/iopadmap), 454\n-jny (cmd/jny), 455\n-json (cmd/json), 455\n-keep_hierarchy (cmd/keep_hierarchy), 456\n-lattice_gsr (cmd/lattice_gsr), 456\n-libcache (cmd/libcache), 457\n-license (cmd/license), 457\n-log (cmd/log), 458\n-logger (cmd/logger), 458\n-ls (cmd/ls), 459\n-ltp (cmd/ltp), 459\n-lut2mux (cmd/lut2mux), 460\n-maccmap (cmd/maccmap), 460\n-memory (cmd/memory), 460\n-memory_bmux2rom (cmd/memory_bmux2rom), 460\n-memory_bram (cmd/memory_bram), 461\n-memory_collect (cmd/memory_collect), 463\n-memory_dff (cmd/memory_dff ), 463\n-memory_libmap (cmd/memory_libmap), 463\n-memory_map (cmd/memory_map), 464\n-memory_memx (cmd/memory_memx), 464\n-memory_narrow (cmd/memory_narrow), 465\n-memory_nordff (cmd/memory_nordff ), 465\n-memory_share (cmd/memory_share), 465\n-memory_unpack (cmd/memory_unpack), 465\n-microchip_dffopt (cmd/microchip_dffopt), 466\n-microchip_dsp (cmd/microchip_dsp), 466\n-miter (cmd/miter), 466\n-mutate (cmd/mutate), 467\n-muxcover (cmd/muxcover), 469\n-muxpack (cmd/muxpack), 469\n-nlutmap (cmd/nlutmap), 470\n-626\n-\n-nx_carry (cmd/nx_carry), 470\n-onehot (cmd/onehot), 470\n-opt (cmd/opt), 470\n-opt_clean (cmd/opt_clean), 471\n-opt_demorgan (cmd/opt_demorgan), 471\n-opt_dff (cmd/opt_dff ), 472\n-opt_expr (cmd/opt_expr), 472\n-opt_ffinv (cmd/opt_ffinv), 473\n-opt_lut (cmd/opt_lut), 473\n-opt_lut_ins (cmd/opt_lut_ins), 473\n-opt_mem (cmd/opt_mem), 474\n-opt_mem_feedback (cmd/opt_mem_feedback), 474\n-opt_mem_priority (cmd/opt_mem_priority), 474\n-opt_mem_widen (cmd/opt_mem_widen), 475\n-opt_merge (cmd/opt_merge), 475\n-opt_muxtree (cmd/opt_muxtree), 475\n-opt_reduce (cmd/opt_reduce), 475\n-opt_share (cmd/opt_share), 476\n-paramap (cmd/paramap), 476\n-peepopt (cmd/peepopt), 477\n-plugin (cmd/plugin), 477\n-pmux2shiftx (cmd/pmux2shiftx), 478\n-pmuxtree (cmd/pmuxtree), 478\n-portarcs (cmd/portarcs), 478\n-portlist (cmd/portlist), 479\n-prep (cmd/prep), 479\n-printattrs (cmd/printattrs), 480\n-proc (cmd/proc), 481\n-proc_arst (cmd/proc_arst), 481\n-proc_clean (cmd/proc_clean), 482\n-proc_dff (cmd/proc_dff ), 482\n-proc_dlatch (cmd/proc_dlatch), 482\n-proc_init (cmd/proc_init), 482\n-proc_memwr (cmd/proc_memwr), 483\n-proc_mux (cmd/proc_mux), 483\n-proc_prune (cmd/proc_prune), 483\n-proc_rmdead (cmd/proc_rmdead), 483\n-proc_rom (cmd/proc_rom), 483\n-qbfsat (cmd/qbfsat), 484\n-ql_bram_merge (cmd/ql_bram_merge), 485\n-ql_bram_types (cmd/ql_bram_types), 485\n-ql_dsp_io_regs (cmd/ql_dsp_io_regs), 485\n-ql_dsp_macc (cmd/ql_dsp_macc), 486\n-ql_dsp_simd (cmd/ql_dsp_simd), 486\n-ql_ioff (cmd/ql_ioff ), 486\n-read (cmd/read), 486\n-read_aiger (cmd/read_aiger), 487\n-read_blif (cmd/read_blif ), 488\n-read_json (cmd/read_json), 488\n-read_liberty (cmd/read_liberty), 488\n-read_rtlil (cmd/read_rtlil), 489\n-read_verilog (cmd/read_verilog), 490\n-read_verilog_file_list\n-(cmd/read_verilog_file_list), 493\n-\n-Tag Index\n-\n-\fYosysHQ Yosys, Version 0.52\n-\n-read_xaiger2 (cmd/read_xaiger2 ), 493\n-recover_names (cmd/recover_names), 494\n-rename (cmd/rename), 494\n-rmports (cmd/rmports), 495\n-sat (cmd/sat), 495\n-scatter (cmd/scatter), 499\n-scc (cmd/scc), 499\n-scratchpad (cmd/scratchpad), 500\n-script (cmd/script), 500\n-select (cmd/select), 501\n-setattr (cmd/setattr), 505\n-setenv (cmd/setenv), 506\n-setparam (cmd/setparam), 506\n-setundef (cmd/setundef ), 506\n-share (cmd/share), 507\n-shell (cmd/shell), 507\n-show (cmd/show), 508\n-shregmap (cmd/shregmap), 510\n-sim (cmd/sim), 511\n-simplemap (cmd/simplemap), 513\n-splice (cmd/splice), 514\n-splitcells (cmd/splitcells), 514\n-splitnets (cmd/splitnets), 515\n-sta (cmd/sta), 515\n-stat (cmd/stat), 515\n-submod (cmd/submod), 516\n-supercover (cmd/supercover), 517\n-synth (cmd/synth), 517\n-synth_achronix (cmd/synth_achronix), 519\n-synth_anlogic (cmd/synth_anlogic), 520\n-synth_coolrunner2 (cmd/synth_coolrunner2 ), 522\n-synth_easic (cmd/synth_easic), 524\n-synth_ecp5 (cmd/synth_ecp5 ), 525\n-synth_efinix (cmd/synth_efinix), 529\n-synth_fabulous (cmd/synth_fabulous), 530\n-synth_gatemate (cmd/synth_gatemate), 534\n-synth_gowin (cmd/synth_gowin), 537\n-synth_greenpak4 (cmd/synth_greenpak4 ), 539\n-synth_ice40 (cmd/synth_ice40 ), 541\n-synth_intel (cmd/synth_intel), 544\n-synth_intel_alm (cmd/synth_intel_alm), 547\n-synth_lattice (cmd/synth_lattice), 549\n-synth_microchip (cmd/synth_microchip), 553\n-synth_nanoxplore (cmd/synth_nanoxplore), 556\n-synth_nexus (cmd/synth_nexus), 559\n-synth_quicklogic (cmd/synth_quicklogic), 562\n-synth_sf2 (cmd/synth_sf2 ), 565\n-synth_xilinx (cmd/synth_xilinx), 567\n-synthprop (cmd/synthprop), 571\n-tcl (cmd/tcl), 571\n-techmap (cmd/techmap), 572\n-tee (cmd/tee), 575\n-test_abcloop (cmd/test_abcloop), 575\n-test_autotb (cmd/test_autotb), 576\n-Tag Index\n-\n-test_cell (cmd/test_cell), 576\n-test_generic (cmd/test_generic), 578\n-test_pmgen (cmd/test_pmgen), 578\n-torder (cmd/torder), 578\n-trace (cmd/trace), 579\n-tribuf (cmd/tribuf ), 579\n-uniquify (cmd/uniquify), 579\n-verific (cmd/verific), 580\n-verilog_defaults (cmd/verilog_defaults), 582\n-verilog_defines (cmd/verilog_defines), 582\n-viz (cmd/viz), 583\n-wbflip (cmd/wbflip), 584\n-wrapcell (cmd/wrapcell), 584\n-wreduce (cmd/wreduce), 585\n-write_aiger (cmd/write_aiger), 585\n-write_aiger2 (cmd/write_aiger2 ), 586\n-write_blif (cmd/write_blif ), 586\n-write_btor (cmd/write_btor), 588\n-write_cxxrtl (cmd/write_cxxrtl), 588\n-write_edif (cmd/write_edif ), 593\n-write_file (cmd/write_file), 594\n-write_firrtl (cmd/write_firrtl), 594\n-write_functional_cxx\n-(cmd/write_functional_cxx), 594\n-write_functional_rosette\n-(cmd/write_functional_rosette), 595\n-write_functional_smt2\n-(cmd/write_functional_smt2 ), 595\n-write_intersynth (cmd/write_intersynth), 595\n-write_jny (cmd/write_jny), 595\n-write_json (cmd/write_json), 596\n-write_rtlil (cmd/write_rtlil), 601\n-write_simplec (cmd/write_simplec), 601\n-write_smt2 (cmd/write_smt2 ), 602\n-write_smv (cmd/write_smv), 605\n-write_spice (cmd/write_spice), 605\n-write_table (cmd/write_table), 606\n-write_verilog (cmd/write_verilog), 606\n-write_xaiger (cmd/write_xaiger), 608\n-write_xaiger2 (cmd/write_xaiger2 ), 608\n-xilinx_dffopt (cmd/xilinx_dffopt), 609\n-xilinx_dsp (cmd/xilinx_dsp), 609\n-xilinx_srl (cmd/xilinx_srl), 610\n-xprop (cmd/xprop), 610\n-zinit (cmd/zinit), 611\n-\n 627\n \n \f\n"}]}]}]}]}]}