--- /srv/reproducible-results/rbuild-debian/r-b-build.S5rUH4nS/b1/yosys_0.52-2_arm64.changes +++ /srv/reproducible-results/rbuild-debian/r-b-build.S5rUH4nS/b2/yosys_0.52-2_arm64.changes ├── Files │ @@ -1,7 +1,7 @@ │ │ cc58ad4d0dd9c1154d23cd9481deaa8a 28658780 debug optional yosys-abc-dbgsym_0.52-2_arm64.deb │ 6e1ef3ece5cf566e1c7dd85217c069a0 4374380 electronics optional yosys-abc_0.52-2_arm64.deb │ 7bf67feff5251d616723fa376c3b9c9e 89838704 debug optional yosys-dbgsym_0.52-2_arm64.deb │ db5292a30a762d1da687f6e8e6846ab5 136256 electronics optional yosys-dev_0.52-2_arm64.deb │ - ff873a6abfe0bdc6263877b2ca28c5d1 2915672 doc optional yosys-doc_0.52-2_all.deb │ + 4453b5af5874561e9f9ef72e3347ca5d 2915592 doc optional yosys-doc_0.52-2_all.deb │ 66fc1b5429db24c3b7a25b76682413f0 5358264 electronics optional yosys_0.52-2_arm64.deb ├── yosys-doc_0.52-2_all.deb │ ├── file list │ │ @@ -1,3 +1,3 @@ │ │ -rw-r--r-- 0 0 0 4 2025-05-04 16:37:33.000000 debian-binary │ │ -rw-r--r-- 0 0 0 888 2025-05-04 16:37:33.000000 control.tar.xz │ │ --rw-r--r-- 0 0 0 2914592 2025-05-04 16:37:33.000000 data.tar.xz │ │ +-rw-r--r-- 0 0 0 2914512 2025-05-04 16:37:33.000000 data.tar.xz │ ├── control.tar.xz │ │ ├── control.tar │ │ │ ├── ./md5sums │ │ │ │ ├── ./md5sums │ │ │ │ │┄ Files differ │ ├── data.tar.xz │ │ ├── data.tar │ │ │ ├── file list │ │ │ │ @@ -1,13 +1,13 @@ │ │ │ │ drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./ │ │ │ │ drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/ │ │ │ │ drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/ │ │ │ │ drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/doc/ │ │ │ │ drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys/ │ │ │ │ --rw-r--r-- 0 root (0) root (0) 3059938 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys/yosyshqyosys.pdf │ │ │ │ +-rw-r--r-- 0 root (0) root (0) 3059892 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys/yosyshqyosys.pdf │ │ │ │ drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys-doc/ │ │ │ │ -rw-r--r-- 0 root (0) root (0) 2906 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys-doc/changelog.Debian.gz │ │ │ │ -rw-r--r-- 0 root (0) root (0) 18593 2025-04-09 05:38:42.000000 ./usr/share/doc/yosys-doc/changelog.gz │ │ │ │ -rw-r--r-- 0 root (0) root (0) 23698 2025-04-11 09:16:27.000000 ./usr/share/doc/yosys-doc/copyright │ │ │ │ drwxr-xr-x 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/doc-base/ │ │ │ │ -rw-r--r-- 0 root (0) root (0) 245 2023-08-27 13:27:37.000000 ./usr/share/doc-base/yosys-doc.yosys-manual │ │ │ │ lrwxrwxrwx 0 root (0) root (0) 0 2025-05-04 16:37:33.000000 ./usr/share/doc/yosys/manual.pdf -> yosyshqyosys.pdf │ │ │ ├── ./usr/share/doc/yosys/yosyshqyosys.pdf │ │ │ │ ├── pdftotext {} - │ │ │ │ │ @@ -549,19 +549,19 @@ │ │ │ │ │ │ │ │ │ │ 615 │ │ │ │ │ │ │ │ │ │ Internal cell reference │ │ │ │ │ │ │ │ │ │ 617 │ │ │ │ │ │ │ │ │ │ -Tag Index │ │ │ │ │ +Command Reference │ │ │ │ │ │ │ │ │ │ 621 │ │ │ │ │ │ │ │ │ │ -Command Reference │ │ │ │ │ +Tag Index │ │ │ │ │ │ │ │ │ │ 625 │ │ │ │ │ │ │ │ │ │ ix │ │ │ │ │ │ │ │ │ │ x │ │ │ │ │ │ │ │ │ │ @@ -43921,14 +43921,327 @@ │ │ │ │ │ │ │ │ │ │ YosysHQ Yosys, Version 0.52 │ │ │ │ │ │ │ │ │ │ 620 │ │ │ │ │ │ │ │ │ │ Internal cell reference │ │ │ │ │ │ │ │ │ │ + COMMAND REFERENCE │ │ │ │ │ + │ │ │ │ │ +Command │ │ │ │ │ +abc, 393 │ │ │ │ │ +abc9, 396 │ │ │ │ │ +abc9_exe, 399 │ │ │ │ │ +abc9_ops, 401 │ │ │ │ │ +abc_new, 403 │ │ │ │ │ +abstract, 404 │ │ │ │ │ +add, 405 │ │ │ │ │ +aigmap, 406 │ │ │ │ │ +alumacc, 406 │ │ │ │ │ +anlogic_eqn, 406 │ │ │ │ │ +anlogic_fixcarry, 407 │ │ │ │ │ +assertpmux, 407 │ │ │ │ │ +async2sync, 407 │ │ │ │ │ +attrmap, 407 │ │ │ │ │ +attrmvcp, 408 │ │ │ │ │ +autoname, 409 │ │ │ │ │ +blackbox, 409 │ │ │ │ │ +bmuxmap, 409 │ │ │ │ │ +booth, 409 │ │ │ │ │ +box_derive, 410 │ │ │ │ │ +bufnorm, 410 │ │ │ │ │ +bugpoint, 412 │ │ │ │ │ +bwmuxmap, 413 │ │ │ │ │ +cd, 413 │ │ │ │ │ +cellmatch, 414 │ │ │ │ │ +check, 414 │ │ │ │ │ +chformal, 415 │ │ │ │ │ +chparam, 416 │ │ │ │ │ +chtype, 416 │ │ │ │ │ +clean, 416 │ │ │ │ │ +clean_zerowidth, 417 │ │ │ │ │ +clk2fflogic, 417 │ │ │ │ │ +clkbufmap, 417 │ │ │ │ │ +clockgate, 418 │ │ │ │ │ +connect, 419 │ │ │ │ │ +connect_rpc, 419 │ │ │ │ │ +connwrappers, 420 │ │ │ │ │ +coolrunner2_fixup, 421 │ │ │ │ │ +coolrunner2_sop, 421 │ │ │ │ │ +copy, 421 │ │ │ │ │ +cover, 421 │ │ │ │ │ + │ │ │ │ │ +cutpoint, 422 │ │ │ │ │ +debug, 422 │ │ │ │ │ +delete, 423 │ │ │ │ │ +deminout, 423 │ │ │ │ │ +demuxmap, 423 │ │ │ │ │ +design, 423 │ │ │ │ │ +dffinit, 425 │ │ │ │ │ +dfflegalize, 425 │ │ │ │ │ +dfflibmap, 426 │ │ │ │ │ +dffunmap, 427 │ │ │ │ │ +dft_tag, 427 │ │ │ │ │ +dump, 428 │ │ │ │ │ +echo, 428 │ │ │ │ │ +edgetypes, 428 │ │ │ │ │ +efinix_fixcarry, 429 │ │ │ │ │ +equiv_add, 429 │ │ │ │ │ +equiv_induct, 429 │ │ │ │ │ +equiv_make, 430 │ │ │ │ │ +equiv_mark, 430 │ │ │ │ │ +equiv_miter, 430 │ │ │ │ │ +equiv_opt, 431 │ │ │ │ │ +equiv_purge, 432 │ │ │ │ │ +equiv_remove, 432 │ │ │ │ │ +equiv_simple, 433 │ │ │ │ │ +equiv_status, 433 │ │ │ │ │ +equiv_struct, 433 │ │ │ │ │ +eval, 434 │ │ │ │ │ +example_dt, 434 │ │ │ │ │ +exec, 435 │ │ │ │ │ +expose, 435 │ │ │ │ │ +extract, 436 │ │ │ │ │ +extract_counter, 438 │ │ │ │ │ +extract_fa, 438 │ │ │ │ │ +extract_reduce, 439 │ │ │ │ │ +extractinv, 439 │ │ │ │ │ +flatten, 439 │ │ │ │ │ +flowmap, 440 │ │ │ │ │ +fmcombine, 441 │ │ │ │ │ +fminit, 442 │ │ │ │ │ +formalff, 442 │ │ │ │ │ +freduce, 443 │ │ │ │ │ +fsm, 444 │ │ │ │ │ + │ │ │ │ │ +621 │ │ │ │ │ + │ │ │ │ │ + YosysHQ Yosys, Version 0.52 │ │ │ │ │ + │ │ │ │ │ +fsm_detect, 444 │ │ │ │ │ +fsm_expand, 445 │ │ │ │ │ +fsm_export, 445 │ │ │ │ │ +fsm_extract, 446 │ │ │ │ │ +fsm_info, 446 │ │ │ │ │ +fsm_map, 446 │ │ │ │ │ +fsm_opt, 446 │ │ │ │ │ +fsm_recode, 446 │ │ │ │ │ +fst2tb, 447 │ │ │ │ │ +future, 448 │ │ │ │ │ +gatemate_foldinv, 448 │ │ │ │ │ +glift, 448 │ │ │ │ │ +greenpak4_dffinv, 450 │ │ │ │ │ +help, 450 │ │ │ │ │ +hierarchy, 450 │ │ │ │ │ +hilomap, 452 │ │ │ │ │ +history, 452 │ │ │ │ │ +ice40_braminit, 452 │ │ │ │ │ +ice40_dsp, 453 │ │ │ │ │ +ice40_opt, 453 │ │ │ │ │ +ice40_wrapcarry, 453 │ │ │ │ │ +insbuf, 454 │ │ │ │ │ +internal_stats, 454 │ │ │ │ │ +iopadmap, 454 │ │ │ │ │ +jny, 455 │ │ │ │ │ +json, 455 │ │ │ │ │ +keep_hierarchy, 456 │ │ │ │ │ +lattice_gsr, 456 │ │ │ │ │ +libcache, 457 │ │ │ │ │ +license, 457 │ │ │ │ │ +log, 458 │ │ │ │ │ +logger, 458 │ │ │ │ │ +ls, 459 │ │ │ │ │ +ltp, 459 │ │ │ │ │ +lut2mux, 460 │ │ │ │ │ +maccmap, 460 │ │ │ │ │ +memory, 460 │ │ │ │ │ +memory_bmux2rom, 460 │ │ │ │ │ +memory_bram, 461 │ │ │ │ │ +memory_collect, 463 │ │ │ │ │ +memory_dff, 463 │ │ │ │ │ +memory_libmap, 463 │ │ │ │ │ +memory_map, 464 │ │ │ │ │ +memory_memx, 464 │ │ │ │ │ +memory_narrow, 465 │ │ │ │ │ +memory_nordff, 465 │ │ │ │ │ +memory_share, 465 │ │ │ │ │ +memory_unpack, 465 │ │ │ │ │ +microchip_dffopt, 466 │ │ │ │ │ +microchip_dsp, 466 │ │ │ │ │ +miter, 466 │ │ │ │ │ +mutate, 467 │ │ │ │ │ +muxcover, 469 │ │ │ │ │ +muxpack, 469 │ │ │ │ │ +622 │ │ │ │ │ + │ │ │ │ │ +nlutmap, 470 │ │ │ │ │ +nx_carry, 470 │ │ │ │ │ +onehot, 470 │ │ │ │ │ +opt, 470 │ │ │ │ │ +opt_clean, 471 │ │ │ │ │ +opt_demorgan, 471 │ │ │ │ │ +opt_dff, 472 │ │ │ │ │ +opt_expr, 472 │ │ │ │ │ +opt_ffinv, 473 │ │ │ │ │ +opt_lut, 473 │ │ │ │ │ +opt_lut_ins, 473 │ │ │ │ │ +opt_mem, 474 │ │ │ │ │ +opt_mem_feedback, 474 │ │ │ │ │ +opt_mem_priority, 474 │ │ │ │ │ +opt_mem_widen, 475 │ │ │ │ │ +opt_merge, 475 │ │ │ │ │ +opt_muxtree, 475 │ │ │ │ │ +opt_reduce, 475 │ │ │ │ │ +opt_share, 476 │ │ │ │ │ +paramap, 476 │ │ │ │ │ +peepopt, 477 │ │ │ │ │ +plugin, 477 │ │ │ │ │ +pmux2shiftx, 478 │ │ │ │ │ +pmuxtree, 478 │ │ │ │ │ +portarcs, 478 │ │ │ │ │ +portlist, 479 │ │ │ │ │ +prep, 479 │ │ │ │ │ +printattrs, 480 │ │ │ │ │ +proc, 481 │ │ │ │ │ +proc_arst, 481 │ │ │ │ │ +proc_clean, 482 │ │ │ │ │ +proc_dff, 482 │ │ │ │ │ +proc_dlatch, 482 │ │ │ │ │ +proc_init, 482 │ │ │ │ │ +proc_memwr, 483 │ │ │ │ │ +proc_mux, 483 │ │ │ │ │ +proc_prune, 483 │ │ │ │ │ +proc_rmdead, 483 │ │ │ │ │ +proc_rom, 483 │ │ │ │ │ +qbfsat, 484 │ │ │ │ │ +ql_bram_merge, 485 │ │ │ │ │ +ql_bram_types, 485 │ │ │ │ │ +ql_dsp_io_regs, 485 │ │ │ │ │ +ql_dsp_macc, 486 │ │ │ │ │ +ql_dsp_simd, 486 │ │ │ │ │ +ql_ioff, 486 │ │ │ │ │ +read, 486 │ │ │ │ │ +read_aiger, 487 │ │ │ │ │ +read_blif, 488 │ │ │ │ │ +read_json, 488 │ │ │ │ │ +read_liberty, 488 │ │ │ │ │ +read_rtlil, 489 │ │ │ │ │ +read_verilog, 490 │ │ │ │ │ +read_verilog_file_list, 493 │ │ │ │ │ +Command Reference │ │ │ │ │ + │ │ │ │ │ + YosysHQ Yosys, Version 0.52 │ │ │ │ │ + │ │ │ │ │ +read_xaiger2, 493 │ │ │ │ │ +recover_names, 494 │ │ │ │ │ +rename, 494 │ │ │ │ │ +rmports, 495 │ │ │ │ │ +sat, 495 │ │ │ │ │ +scatter, 499 │ │ │ │ │ +scc, 499 │ │ │ │ │ +scratchpad, 500 │ │ │ │ │ +script, 500 │ │ │ │ │ +select, 501 │ │ │ │ │ +setattr, 505 │ │ │ │ │ +setenv, 506 │ │ │ │ │ +setparam, 506 │ │ │ │ │ +setundef, 506 │ │ │ │ │ +share, 507 │ │ │ │ │ +shell, 507 │ │ │ │ │ +show, 508 │ │ │ │ │ +shregmap, 510 │ │ │ │ │ +sim, 511 │ │ │ │ │ +simplemap, 513 │ │ │ │ │ +splice, 514 │ │ │ │ │ +splitcells, 514 │ │ │ │ │ +splitnets, 515 │ │ │ │ │ +sta, 515 │ │ │ │ │ +stat, 515 │ │ │ │ │ +submod, 516 │ │ │ │ │ +supercover, 517 │ │ │ │ │ +synth, 517 │ │ │ │ │ +synth_achronix, 519 │ │ │ │ │ +synth_anlogic, 520 │ │ │ │ │ +synth_coolrunner2, 522 │ │ │ │ │ +synth_easic, 524 │ │ │ │ │ +synth_ecp5, 525 │ │ │ │ │ +synth_efinix, 529 │ │ │ │ │ +synth_fabulous, 530 │ │ │ │ │ +synth_gatemate, 534 │ │ │ │ │ +synth_gowin, 537 │ │ │ │ │ +synth_greenpak4, 539 │ │ │ │ │ +synth_ice40, 541 │ │ │ │ │ +synth_intel, 544 │ │ │ │ │ +synth_intel_alm, 547 │ │ │ │ │ +synth_lattice, 549 │ │ │ │ │ +synth_microchip, 553 │ │ │ │ │ +synth_nanoxplore, 556 │ │ │ │ │ +synth_nexus, 559 │ │ │ │ │ +synth_quicklogic, 562 │ │ │ │ │ +synth_sf2, 565 │ │ │ │ │ +synth_xilinx, 567 │ │ │ │ │ +synthprop, 571 │ │ │ │ │ +tcl, 571 │ │ │ │ │ +techmap, 572 │ │ │ │ │ +tee, 575 │ │ │ │ │ +test_abcloop, 575 │ │ │ │ │ +test_autotb, 576 │ │ │ │ │ +Command Reference │ │ │ │ │ + │ │ │ │ │ +test_cell, 576 │ │ │ │ │ +test_generic, 578 │ │ │ │ │ +test_pmgen, 578 │ │ │ │ │ +torder, 578 │ │ │ │ │ +trace, 579 │ │ │ │ │ +tribuf, 579 │ │ │ │ │ +uniquify, 579 │ │ │ │ │ +verific, 580 │ │ │ │ │ +verilog_defaults, 582 │ │ │ │ │ +verilog_defines, 582 │ │ │ │ │ +viz, 583 │ │ │ │ │ +wbflip, 584 │ │ │ │ │ +wrapcell, 584 │ │ │ │ │ +wreduce, 585 │ │ │ │ │ +write_aiger, 585 │ │ │ │ │ +write_aiger2, 586 │ │ │ │ │ +write_blif, 586 │ │ │ │ │ +write_btor, 588 │ │ │ │ │ +write_cxxrtl, 588 │ │ │ │ │ +write_edif, 593 │ │ │ │ │ +write_file, 594 │ │ │ │ │ +write_firrtl, 594 │ │ │ │ │ +write_functional_cxx, 594 │ │ │ │ │ +write_functional_rosette, 595 │ │ │ │ │ +write_functional_smt2, 595 │ │ │ │ │ +write_intersynth, 595 │ │ │ │ │ +write_jny, 595 │ │ │ │ │ +write_json, 596 │ │ │ │ │ +write_rtlil, 601 │ │ │ │ │ +write_simplec, 601 │ │ │ │ │ +write_smt2, 602 │ │ │ │ │ +write_smv, 605 │ │ │ │ │ +write_spice, 605 │ │ │ │ │ +write_table, 606 │ │ │ │ │ +write_verilog, 606 │ │ │ │ │ +write_xaiger, 608 │ │ │ │ │ +write_xaiger2, 608 │ │ │ │ │ +xilinx_dffopt, 609 │ │ │ │ │ +xilinx_dsp, 609 │ │ │ │ │ +xilinx_srl, 610 │ │ │ │ │ +xprop, 610 │ │ │ │ │ +zinit, 611 │ │ │ │ │ + │ │ │ │ │ +623 │ │ │ │ │ + │ │ │ │ │ + YosysHQ Yosys, Version 0.52 │ │ │ │ │ + │ │ │ │ │ +624 │ │ │ │ │ + │ │ │ │ │ +Command Reference │ │ │ │ │ + │ │ │ │ │ TAG INDEX │ │ │ │ │ │ │ │ │ │ abc (cmd/abc), 393 │ │ │ │ │ abc9 (cmd/abc9 ), 396 │ │ │ │ │ abc9_exe (cmd/abc9_exe), 399 │ │ │ │ │ abc9_ops (cmd/abc9_ops), 401 │ │ │ │ │ abc_new (cmd/abc_new), 403 │ │ │ │ │ @@ -44009,15 +44322,15 @@ │ │ │ │ │ fmcombine (cmd/fmcombine), 441 │ │ │ │ │ fminit (cmd/fminit), 442 │ │ │ │ │ formalff (cmd/formalff ), 442 │ │ │ │ │ freduce (cmd/freduce), 443 │ │ │ │ │ fsm (cmd/fsm), 444 │ │ │ │ │ fsm_detect (cmd/fsm_detect), 444 │ │ │ │ │ │ │ │ │ │ -621 │ │ │ │ │ +625 │ │ │ │ │ │ │ │ │ │ YosysHQ Yosys, Version 0.52 │ │ │ │ │ │ │ │ │ │ fsm_expand (cmd/fsm_expand), 445 │ │ │ │ │ fsm_export (cmd/fsm_export), 445 │ │ │ │ │ fsm_extract (cmd/fsm_extract), 446 │ │ │ │ │ fsm_info (cmd/fsm_info), 446 │ │ │ │ │ @@ -44067,15 +44380,15 @@ │ │ │ │ │ microchip_dffopt (cmd/microchip_dffopt), 466 │ │ │ │ │ microchip_dsp (cmd/microchip_dsp), 466 │ │ │ │ │ miter (cmd/miter), 466 │ │ │ │ │ mutate (cmd/mutate), 467 │ │ │ │ │ muxcover (cmd/muxcover), 469 │ │ │ │ │ muxpack (cmd/muxpack), 469 │ │ │ │ │ nlutmap (cmd/nlutmap), 470 │ │ │ │ │ -622 │ │ │ │ │ +626 │ │ │ │ │ │ │ │ │ │ nx_carry (cmd/nx_carry), 470 │ │ │ │ │ onehot (cmd/onehot), 470 │ │ │ │ │ opt (cmd/opt), 470 │ │ │ │ │ opt_clean (cmd/opt_clean), 471 │ │ │ │ │ opt_demorgan (cmd/opt_demorgan), 471 │ │ │ │ │ opt_dff (cmd/opt_dff ), 472 │ │ │ │ │ @@ -44230,323 +44543,10 @@ │ │ │ │ │ write_xaiger2 (cmd/write_xaiger2 ), 608 │ │ │ │ │ xilinx_dffopt (cmd/xilinx_dffopt), 609 │ │ │ │ │ xilinx_dsp (cmd/xilinx_dsp), 609 │ │ │ │ │ xilinx_srl (cmd/xilinx_srl), 610 │ │ │ │ │ xprop (cmd/xprop), 610 │ │ │ │ │ zinit (cmd/zinit), 611 │ │ │ │ │ │ │ │ │ │ -623 │ │ │ │ │ - │ │ │ │ │ - YosysHQ Yosys, Version 0.52 │ │ │ │ │ - │ │ │ │ │ -624 │ │ │ │ │ - │ │ │ │ │ -Tag Index │ │ │ │ │ - │ │ │ │ │ - COMMAND REFERENCE │ │ │ │ │ - │ │ │ │ │ -Command │ │ │ │ │ -abc, 393 │ │ │ │ │ -abc9, 396 │ │ │ │ │ -abc9_exe, 399 │ │ │ │ │ -abc9_ops, 401 │ │ │ │ │ -abc_new, 403 │ │ │ │ │ -abstract, 404 │ │ │ │ │ -add, 405 │ │ │ │ │ -aigmap, 406 │ │ │ │ │ -alumacc, 406 │ │ │ │ │ -anlogic_eqn, 406 │ │ │ │ │ -anlogic_fixcarry, 407 │ │ │ │ │ -assertpmux, 407 │ │ │ │ │ -async2sync, 407 │ │ │ │ │ -attrmap, 407 │ │ │ │ │ -attrmvcp, 408 │ │ │ │ │ -autoname, 409 │ │ │ │ │ -blackbox, 409 │ │ │ │ │ -bmuxmap, 409 │ │ │ │ │ -booth, 409 │ │ │ │ │ -box_derive, 410 │ │ │ │ │ -bufnorm, 410 │ │ │ │ │ -bugpoint, 412 │ │ │ │ │ -bwmuxmap, 413 │ │ │ │ │ -cd, 413 │ │ │ │ │ -cellmatch, 414 │ │ │ │ │ -check, 414 │ │ │ │ │ -chformal, 415 │ │ │ │ │ -chparam, 416 │ │ │ │ │ -chtype, 416 │ │ │ │ │ -clean, 416 │ │ │ │ │ -clean_zerowidth, 417 │ │ │ │ │ -clk2fflogic, 417 │ │ │ │ │ -clkbufmap, 417 │ │ │ │ │ -clockgate, 418 │ │ │ │ │ -connect, 419 │ │ │ │ │ -connect_rpc, 419 │ │ │ │ │ -connwrappers, 420 │ │ │ │ │ -coolrunner2_fixup, 421 │ │ │ │ │ -coolrunner2_sop, 421 │ │ │ │ │ -copy, 421 │ │ │ │ │ -cover, 421 │ │ │ │ │ - │ │ │ │ │ -cutpoint, 422 │ │ │ │ │ -debug, 422 │ │ │ │ │ -delete, 423 │ │ │ │ │ -deminout, 423 │ │ │ │ │ -demuxmap, 423 │ │ │ │ │ -design, 423 │ │ │ │ │ -dffinit, 425 │ │ │ │ │ -dfflegalize, 425 │ │ │ │ │ -dfflibmap, 426 │ │ │ │ │ -dffunmap, 427 │ │ │ │ │ -dft_tag, 427 │ │ │ │ │ -dump, 428 │ │ │ │ │ -echo, 428 │ │ │ │ │ -edgetypes, 428 │ │ │ │ │ -efinix_fixcarry, 429 │ │ │ │ │ -equiv_add, 429 │ │ │ │ │ -equiv_induct, 429 │ │ │ │ │ -equiv_make, 430 │ │ │ │ │ -equiv_mark, 430 │ │ │ │ │ -equiv_miter, 430 │ │ │ │ │ -equiv_opt, 431 │ │ │ │ │ -equiv_purge, 432 │ │ │ │ │ -equiv_remove, 432 │ │ │ │ │ -equiv_simple, 433 │ │ │ │ │ -equiv_status, 433 │ │ │ │ │ -equiv_struct, 433 │ │ │ │ │ -eval, 434 │ │ │ │ │ -example_dt, 434 │ │ │ │ │ -exec, 435 │ │ │ │ │ -expose, 435 │ │ │ │ │ -extract, 436 │ │ │ │ │ -extract_counter, 438 │ │ │ │ │ -extract_fa, 438 │ │ │ │ │ -extract_reduce, 439 │ │ │ │ │ -extractinv, 439 │ │ │ │ │ -flatten, 439 │ │ │ │ │ -flowmap, 440 │ │ │ │ │ -fmcombine, 441 │ │ │ │ │ -fminit, 442 │ │ │ │ │ -formalff, 442 │ │ │ │ │ -freduce, 443 │ │ │ │ │ -fsm, 444 │ │ │ │ │ - │ │ │ │ │ -625 │ │ │ │ │ - │ │ │ │ │ - YosysHQ Yosys, Version 0.52 │ │ │ │ │ - │ │ │ │ │ -fsm_detect, 444 │ │ │ │ │ -fsm_expand, 445 │ │ │ │ │ -fsm_export, 445 │ │ │ │ │ -fsm_extract, 446 │ │ │ │ │ -fsm_info, 446 │ │ │ │ │ -fsm_map, 446 │ │ │ │ │ -fsm_opt, 446 │ │ │ │ │ -fsm_recode, 446 │ │ │ │ │ -fst2tb, 447 │ │ │ │ │ -future, 448 │ │ │ │ │ -gatemate_foldinv, 448 │ │ │ │ │ -glift, 448 │ │ │ │ │ -greenpak4_dffinv, 450 │ │ │ │ │ -help, 450 │ │ │ │ │ -hierarchy, 450 │ │ │ │ │ -hilomap, 452 │ │ │ │ │ -history, 452 │ │ │ │ │ -ice40_braminit, 452 │ │ │ │ │ -ice40_dsp, 453 │ │ │ │ │ -ice40_opt, 453 │ │ │ │ │ -ice40_wrapcarry, 453 │ │ │ │ │ -insbuf, 454 │ │ │ │ │ -internal_stats, 454 │ │ │ │ │ -iopadmap, 454 │ │ │ │ │ -jny, 455 │ │ │ │ │ -json, 455 │ │ │ │ │ -keep_hierarchy, 456 │ │ │ │ │ -lattice_gsr, 456 │ │ │ │ │ -libcache, 457 │ │ │ │ │ -license, 457 │ │ │ │ │ -log, 458 │ │ │ │ │ -logger, 458 │ │ │ │ │ -ls, 459 │ │ │ │ │ -ltp, 459 │ │ │ │ │ -lut2mux, 460 │ │ │ │ │ -maccmap, 460 │ │ │ │ │ -memory, 460 │ │ │ │ │ -memory_bmux2rom, 460 │ │ │ │ │ -memory_bram, 461 │ │ │ │ │ -memory_collect, 463 │ │ │ │ │ -memory_dff, 463 │ │ │ │ │ -memory_libmap, 463 │ │ │ │ │ -memory_map, 464 │ │ │ │ │ -memory_memx, 464 │ │ │ │ │ -memory_narrow, 465 │ │ │ │ │ -memory_nordff, 465 │ │ │ │ │ -memory_share, 465 │ │ │ │ │ -memory_unpack, 465 │ │ │ │ │ -microchip_dffopt, 466 │ │ │ │ │ -microchip_dsp, 466 │ │ │ │ │ -miter, 466 │ │ │ │ │ -mutate, 467 │ │ │ │ │ -muxcover, 469 │ │ │ │ │ -muxpack, 469 │ │ │ │ │ -626 │ │ │ │ │ - │ │ │ │ │ -nlutmap, 470 │ │ │ │ │ -nx_carry, 470 │ │ │ │ │ -onehot, 470 │ │ │ │ │ -opt, 470 │ │ │ │ │ -opt_clean, 471 │ │ │ │ │ -opt_demorgan, 471 │ │ │ │ │ -opt_dff, 472 │ │ │ │ │ -opt_expr, 472 │ │ │ │ │ -opt_ffinv, 473 │ │ │ │ │ -opt_lut, 473 │ │ │ │ │ -opt_lut_ins, 473 │ │ │ │ │ -opt_mem, 474 │ │ │ │ │ -opt_mem_feedback, 474 │ │ │ │ │ -opt_mem_priority, 474 │ │ │ │ │ -opt_mem_widen, 475 │ │ │ │ │ -opt_merge, 475 │ │ │ │ │ -opt_muxtree, 475 │ │ │ │ │ -opt_reduce, 475 │ │ │ │ │ -opt_share, 476 │ │ │ │ │ -paramap, 476 │ │ │ │ │ -peepopt, 477 │ │ │ │ │ -plugin, 477 │ │ │ │ │ -pmux2shiftx, 478 │ │ │ │ │ -pmuxtree, 478 │ │ │ │ │ -portarcs, 478 │ │ │ │ │ -portlist, 479 │ │ │ │ │ -prep, 479 │ │ │ │ │ -printattrs, 480 │ │ │ │ │ -proc, 481 │ │ │ │ │ -proc_arst, 481 │ │ │ │ │ -proc_clean, 482 │ │ │ │ │ -proc_dff, 482 │ │ │ │ │ -proc_dlatch, 482 │ │ │ │ │ -proc_init, 482 │ │ │ │ │ -proc_memwr, 483 │ │ │ │ │ -proc_mux, 483 │ │ │ │ │ -proc_prune, 483 │ │ │ │ │ -proc_rmdead, 483 │ │ │ │ │ -proc_rom, 483 │ │ │ │ │ -qbfsat, 484 │ │ │ │ │ -ql_bram_merge, 485 │ │ │ │ │ -ql_bram_types, 485 │ │ │ │ │ -ql_dsp_io_regs, 485 │ │ │ │ │ -ql_dsp_macc, 486 │ │ │ │ │ -ql_dsp_simd, 486 │ │ │ │ │ -ql_ioff, 486 │ │ │ │ │ -read, 486 │ │ │ │ │ -read_aiger, 487 │ │ │ │ │ -read_blif, 488 │ │ │ │ │ -read_json, 488 │ │ │ │ │ -read_liberty, 488 │ │ │ │ │ -read_rtlil, 489 │ │ │ │ │ -read_verilog, 490 │ │ │ │ │ -read_verilog_file_list, 493 │ │ │ │ │ -Command Reference │ │ │ │ │ - │ │ │ │ │ - YosysHQ Yosys, Version 0.52 │ │ │ │ │ - │ │ │ │ │ -read_xaiger2, 493 │ │ │ │ │ -recover_names, 494 │ │ │ │ │ -rename, 494 │ │ │ │ │ -rmports, 495 │ │ │ │ │ -sat, 495 │ │ │ │ │ -scatter, 499 │ │ │ │ │ -scc, 499 │ │ │ │ │ -scratchpad, 500 │ │ │ │ │ -script, 500 │ │ │ │ │ -select, 501 │ │ │ │ │ -setattr, 505 │ │ │ │ │ -setenv, 506 │ │ │ │ │ -setparam, 506 │ │ │ │ │ -setundef, 506 │ │ │ │ │ -share, 507 │ │ │ │ │ -shell, 507 │ │ │ │ │ -show, 508 │ │ │ │ │ -shregmap, 510 │ │ │ │ │ -sim, 511 │ │ │ │ │ -simplemap, 513 │ │ │ │ │ -splice, 514 │ │ │ │ │ -splitcells, 514 │ │ │ │ │ -splitnets, 515 │ │ │ │ │ -sta, 515 │ │ │ │ │ -stat, 515 │ │ │ │ │ -submod, 516 │ │ │ │ │ -supercover, 517 │ │ │ │ │ -synth, 517 │ │ │ │ │ -synth_achronix, 519 │ │ │ │ │ -synth_anlogic, 520 │ │ │ │ │ -synth_coolrunner2, 522 │ │ │ │ │ -synth_easic, 524 │ │ │ │ │ -synth_ecp5, 525 │ │ │ │ │ -synth_efinix, 529 │ │ │ │ │ -synth_fabulous, 530 │ │ │ │ │ -synth_gatemate, 534 │ │ │ │ │ -synth_gowin, 537 │ │ │ │ │ -synth_greenpak4, 539 │ │ │ │ │ -synth_ice40, 541 │ │ │ │ │ -synth_intel, 544 │ │ │ │ │ -synth_intel_alm, 547 │ │ │ │ │ -synth_lattice, 549 │ │ │ │ │ -synth_microchip, 553 │ │ │ │ │ -synth_nanoxplore, 556 │ │ │ │ │ -synth_nexus, 559 │ │ │ │ │ -synth_quicklogic, 562 │ │ │ │ │ -synth_sf2, 565 │ │ │ │ │ -synth_xilinx, 567 │ │ │ │ │ -synthprop, 571 │ │ │ │ │ -tcl, 571 │ │ │ │ │ -techmap, 572 │ │ │ │ │ -tee, 575 │ │ │ │ │ -test_abcloop, 575 │ │ │ │ │ -test_autotb, 576 │ │ │ │ │ -Command Reference │ │ │ │ │ - │ │ │ │ │ -test_cell, 576 │ │ │ │ │ -test_generic, 578 │ │ │ │ │ -test_pmgen, 578 │ │ │ │ │ -torder, 578 │ │ │ │ │ -trace, 579 │ │ │ │ │ -tribuf, 579 │ │ │ │ │ -uniquify, 579 │ │ │ │ │ -verific, 580 │ │ │ │ │ -verilog_defaults, 582 │ │ │ │ │ -verilog_defines, 582 │ │ │ │ │ -viz, 583 │ │ │ │ │ -wbflip, 584 │ │ │ │ │ -wrapcell, 584 │ │ │ │ │ -wreduce, 585 │ │ │ │ │ -write_aiger, 585 │ │ │ │ │ -write_aiger2, 586 │ │ │ │ │ -write_blif, 586 │ │ │ │ │ -write_btor, 588 │ │ │ │ │ -write_cxxrtl, 588 │ │ │ │ │ -write_edif, 593 │ │ │ │ │ -write_file, 594 │ │ │ │ │ -write_firrtl, 594 │ │ │ │ │ -write_functional_cxx, 594 │ │ │ │ │ -write_functional_rosette, 595 │ │ │ │ │ -write_functional_smt2, 595 │ │ │ │ │ -write_intersynth, 595 │ │ │ │ │ -write_jny, 595 │ │ │ │ │ -write_json, 596 │ │ │ │ │ -write_rtlil, 601 │ │ │ │ │ -write_simplec, 601 │ │ │ │ │ -write_smt2, 602 │ │ │ │ │ -write_smv, 605 │ │ │ │ │ -write_spice, 605 │ │ │ │ │ -write_table, 606 │ │ │ │ │ -write_verilog, 606 │ │ │ │ │ -write_xaiger, 608 │ │ │ │ │ -write_xaiger2, 608 │ │ │ │ │ -xilinx_dffopt, 609 │ │ │ │ │ -xilinx_dsp, 609 │ │ │ │ │ -xilinx_srl, 610 │ │ │ │ │ -xprop, 610 │ │ │ │ │ -zinit, 611 │ │ │ │ │ - │ │ │ │ │ 627