Diff of the two buildlogs: -- --- b1/build.log 2025-07-18 04:09:34.351643646 +0000 +++ b2/build.log 2025-07-18 04:32:10.629309289 +0000 @@ -1,6 +1,6 @@ I: pbuilder: network access will be disabled during build -I: Current time: Thu Jul 17 15:46:54 -12 2025 -I: pbuilder-time-stamp: 1752810414 +I: Current time: Fri Aug 21 00:32:38 +14 2026 +I: pbuilder-time-stamp: 1787221958 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/trixie-reproducible-base.tgz] I: copying local configuration @@ -42,51 +42,83 @@ dpkg-source: info: applying 0031-Set-pythonpath-for-usage-docs.patch I: Not using root during the build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/3728122/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/938318/tmp/hooks/D01_modify_environment starting +debug: Running on infom02-amd64. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +'/bin/sh' -> '/bin/bash' +lrwxrwxrwx 1 root root 9 Aug 20 10:32 /bin/sh -> /bin/bash +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/938318/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/938318/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build/reproducible-path' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='amd64' - DEBIAN_FRONTEND='noninteractive' - DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=12 ' - DISTRIBUTION='trixie' - HOME='/root' - HOST_ARCH='amd64' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="2" [2]="37" [3]="1" [4]="release" [5]="x86_64-pc-linux-gnu") + BASH_VERSION='5.2.37(1)-release' + BUILDDIR=/build/reproducible-path + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=amd64 + DEBIAN_FRONTEND=noninteractive + DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=16 ' + DIRSTACK=() + DISTRIBUTION=trixie + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=x86_64 + HOST_ARCH=amd64 IFS=' ' - INVOCATION_ID='8b4c0073a24b4db8a6cfaea2ba573bd7' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='3728122' - PS1='# ' - PS2='> ' + INVOCATION_ID=050586c4cfe24e26942f319873c685e9 + LANG=C + LANGUAGE=et_EE:et + LC_ALL=C + MACHTYPE=x86_64-pc-linux-gnu + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnu + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=938318 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.C5ZLWdP4/pbuilderrc_Ftje --distribution trixie --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/trixie-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.C5ZLWdP4/b1 --logfile b1/build.log yosys_0.52-2.dsc' - SUDO_GID='109' - SUDO_UID='104' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.C5ZLWdP4/pbuilderrc_H5Ej --distribution trixie --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/trixie-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.C5ZLWdP4/b2 --logfile b2/build.log yosys_0.52-2.dsc' + SUDO_GID=109 + SUDO_UID=104 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' I: uname -a - Linux infom01-amd64 6.1.0-37-cloud-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.140-1 (2025-05-22) x86_64 GNU/Linux + Linux i-capture-the-hostname 6.12.32+bpo-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.12.32-1~bpo12+1 (2025-06-21) x86_64 GNU/Linux I: ls -l /bin - lrwxrwxrwx 1 root root 7 May 12 19:25 /bin -> usr/bin -I: user script /srv/workspace/pbuilder/3728122/tmp/hooks/D02_print_environment finished + lrwxrwxrwx 1 root root 7 May 12 2025 /bin -> usr/bin +I: user script /srv/workspace/pbuilder/938318/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -528,7 +560,7 @@ Get: 330 http://deb.debian.org/debian trixie/main amd64 texlive-publishers all 2024.20250309-2 [22.8 MB] Get: 331 http://deb.debian.org/debian trixie/main amd64 texlive-science all 2024.20250309-2 [3937 kB] Get: 332 http://deb.debian.org/debian trixie/main amd64 txt2man all 1.7.1-5 [35.5 kB] -Fetched 1080 MB in 13s (86.2 MB/s) +Fetched 1080 MB in 15s (71.6 MB/s) Preconfiguring packages ... Selecting previously unselected package libsystemd-shared:amd64. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19850 files and directories currently installed.) @@ -1612,8 +1644,8 @@ Setting up tzdata (2025b-4) ... Current default time zone: 'Etc/UTC' -Local time is now: Fri Jul 18 03:56:11 UTC 2025. -Universal Time is now: Fri Jul 18 03:56:11 UTC 2025. +Local time is now: Thu Aug 20 10:40:04 UTC 2026. +Universal Time is now: Thu Aug 20 10:40:04 UTC 2026. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up liberror-perl (0.17030-1) ... @@ -1937,7 +1969,11 @@ Building tag database... -> Finished parsing the build-deps I: Building the package -I: Running cd /build/reproducible-path/yosys-0.52/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../yosys_0.52-2_source.changes +I: user script /srv/workspace/pbuilder/938318/tmp/hooks/A99_set_merged_usr starting +Not re-configuring usrmerge for trixie +I: user script /srv/workspace/pbuilder/938318/tmp/hooks/A99_set_merged_usr finished +hostname: Name or service not known +I: Running cd /build/reproducible-path/yosys-0.52/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../yosys_0.52-2_source.changes dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.52-2 dpkg-buildpackage: info: source distribution unstable @@ -1948,7 +1984,7 @@ debian/rules clean PREFIX=/usr dh clean --with=python3 dh_auto_clean - make -j12 clean + make -j16 clean make[1]: Entering directory '/build/reproducible-path/yosys-0.52' rm -rf share rm -rf kernel/*.pyh @@ -1969,53 +2005,53 @@ make -C docs clean make[2]: Entering directory '/build/reproducible-path/yosys-0.52/docs' make -C source/code_examples/extensions clean +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' +rm -f *.d *.so *.dot +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' make -C source/code_examples/fifo clean make -C source/code_examples/intro clean make -C source/code_examples/macc clean make -C source/code_examples/opt clean -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' -rm -f *.d *.so *.dot make -C source/code_examples/scrambler clean make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' rm -f *.dot -make -C source/code_examples/selections clean make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' -rm -f *.dot rm -f *.dot +make -C source/code_examples/selections clean make -C source/code_examples/show clean +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' +rm -f *.dot make -C source/code_examples/stubnets clean -make -C source/code_examples/synth_flow clean make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' rm -f *.dot +make -C source/code_examples/synth_flow clean make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' rm -f *.dot make -C source/code_examples/techmap clean make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' rm -rf *.dot +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' +rm -rf *.dot make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' rm -f test1.log test2.log test3.log rm -f fifo.out fifo.stat -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' -rm -rf *.dot make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' rm -f *.dot +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' rm -f *.dot +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' rm -f stubnets.so stubnets.d make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' rm -f sumprod.out make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' rm -f example.out make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' rm -rf build/* rm -rf source/cmd util/__pycache__ rm -rf source/generated @@ -2081,47 +2117,47 @@ debian/rules override_dh_auto_build-arch make[1]: Entering directory '/build/reproducible-path/yosys-0.52' dh_auto_build -- all - make -j12 "INSTALL=install --strip-program=true" all + make -j16 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/build/reproducible-path/yosys-0.52' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common -g++ -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p techlibs/common -mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90)\"; }" > kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.cc +g++ -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new -mkdir -p kernel/ +mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90)\"; }" > kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.cc mkdir -p kernel/ python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new -g++ -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ -g++ -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ +g++ -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ +g++ -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc g++ -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ g++ -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc mkdir -p kernel/ g++ -o kernel/io.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/io.cc +mkdir -p kernel/ g++ -o kernel/gzip.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/gzip.cc g++ -o kernel/binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ -'abc' comes from a tarball. Continuing. -g++ -o kernel/tclapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/tclapi.cc mkdir -p kernel/ -g++ -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc -mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ +g++ -o kernel/tclapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/tclapi.cc +g++ -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc g++ -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc -mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ -g++ -o kernel/cost.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cost.cc mkdir -p kernel/ +g++ -o kernel/cost.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cost.cc g++ -o kernel/satgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc +'abc' comes from a tarball. Continuing. mkdir -p kernel/ g++ -o kernel/scopeinfo.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/scopeinfo.cc +mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc +mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ g++ -o kernel/qcsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ @@ -2155,8 +2191,8 @@ mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ -g++ -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ +g++ -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp g++ -o libs/json11/json11.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc @@ -2193,8 +2229,8 @@ mkdir -p frontends/ast/ g++ -o frontends/ast/ast_binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc mkdir -p frontends/blif/ -g++ -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ +g++ -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc g++ -o frontends/json/jsonparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ g++ -o frontends/liberty/liberty.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc @@ -2371,8 +2407,8 @@ mkdir -p passes/memory/ g++ -o passes/memory/memory_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ -mkdir -p passes/memory/ g++ -o passes/memory/memory_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc +mkdir -p passes/memory/ g++ -o passes/memory/memory_collect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_unpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc @@ -2459,8 +2495,8 @@ mkdir -p passes/proc/ g++ -o passes/proc/proc_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ -g++ -o passes/proc/proc_memwr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p passes/sat/ +g++ -o passes/proc/proc_memwr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc g++ -o passes/sat/sat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ g++ -o passes/sat/freduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc @@ -2513,10 +2549,10 @@ mkdir -p passes/techmap/ g++ -o passes/techmap/libcache.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libcache.cc mkdir -p passes/techmap/ -g++ -o passes/techmap/abc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc.cc mkdir -p passes/techmap/ -g++ -o passes/techmap/abc9.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9.cc mkdir -p passes/techmap/ +g++ -o passes/techmap/abc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc.cc +g++ -o passes/techmap/abc9.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9.cc g++ -o passes/techmap/abc9_exe.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_ops.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc @@ -2677,8 +2713,8 @@ mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_braminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ -g++ -o techlibs/ice40/ice40_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/ice40/ +g++ -o techlibs/ice40/ice40_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc g++ -o techlibs/ice40/ice40_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_dsp.cc mkdir -p techlibs/ice40/ && python3 passes/pmgen/pmgen.py -o techlibs/ice40/ice40_wrapcarry_pm.h -p ice40_wrapcarry techlibs/ice40/ice40_wrapcarry.pmg mkdir -p techlibs/intel/ @@ -2852,8 +2888,8 @@ mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic -cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic +cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v @@ -2862,8 +2898,8 @@ mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic -cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic +cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v @@ -2874,8 +2910,8 @@ mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share -cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share +cp "./"/techlibs/common/smtmap.v share/smtmap.v cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v @@ -2884,12 +2920,12 @@ mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share -cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share +cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share -cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share +cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v @@ -2898,12 +2934,12 @@ mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share -cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v mkdir -p share/choices +cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v cp "./"/techlibs/common/choices/kogge-stone.v share/choices/kogge-stone.v mkdir -p share/choices -cp "./"/techlibs/common/choices/han-carlson.v share/choices/han-carlson.v mkdir -p share/choices +cp "./"/techlibs/common/choices/han-carlson.v share/choices/han-carlson.v cp "./"/techlibs/common/choices/sklansky.v share/choices/sklansky.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v @@ -2912,12 +2948,12 @@ mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 -cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 +cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 -cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 +cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v @@ -2936,8 +2972,8 @@ mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 -cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 +cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v @@ -2946,8 +2982,8 @@ mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix -cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix +cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt @@ -2956,24 +2992,24 @@ mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous -cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous +cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous -cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous +cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous -cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/gatemate +cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate -cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate +cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v @@ -2986,15 +3022,14 @@ mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate -cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh mkdir -p share/gatemate +cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate -python3 techlibs/gatemate/make_lut_tree_lib.py -touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin +python3 techlibs/gatemate/make_lut_tree_lib.py cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v @@ -3002,27 +3037,28 @@ cp "./"/techlibs/gowin/cells_xtra_gw1n.v share/gowin/cells_xtra_gw1n.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra_gw2a.v share/gowin/cells_xtra_gw2a.v +touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin -cp "./"/techlibs/gowin/cells_xtra_gw5a.v share/gowin/cells_xtra_gw5a.v mkdir -p share/gowin +cp "./"/techlibs/gowin/cells_xtra_gw5a.v share/gowin/cells_xtra_gw5a.v cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin -cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin +cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/greenpak4 -cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 +cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 -cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 +cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v @@ -3033,22 +3069,22 @@ mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 -cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 +cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 -cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 +cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 -cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 +cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v @@ -3059,8 +3095,8 @@ mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common -cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common +cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v @@ -3073,44 +3109,44 @@ mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp -cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v +cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v mkdir -p share/intel_alm/cyclonev cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh @@ -3125,12 +3161,12 @@ mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh mkdir -p share/lattice -cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v mkdir -p share/lattice +cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v mkdir -p share/lattice -cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice +cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v @@ -3141,8 +3177,8 @@ mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v mkdir -p share/lattice -cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v mkdir -p share/lattice +cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v @@ -3151,8 +3187,8 @@ mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice -cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt mkdir -p share/lattice +cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v @@ -3161,8 +3197,8 @@ mkdir -p share/lattice cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v mkdir -p share/microchip -cp "./"/techlibs/microchip/arith_map.v share/microchip/arith_map.v mkdir -p share/microchip +cp "./"/techlibs/microchip/arith_map.v share/microchip/arith_map.v cp "./"/techlibs/microchip/cells_map.v share/microchip/cells_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/cells_sim.v share/microchip/cells_sim.v @@ -3171,88 +3207,88 @@ mkdir -p share/microchip cp "./"/techlibs/microchip/brams_defs.vh share/microchip/brams_defs.vh mkdir -p share/microchip -cp "./"/techlibs/microchip/LSRAM_map.v share/microchip/LSRAM_map.v mkdir -p share/microchip +cp "./"/techlibs/microchip/LSRAM_map.v share/microchip/LSRAM_map.v cp "./"/techlibs/microchip/LSRAM.txt share/microchip/LSRAM.txt mkdir -p share/microchip -cp "./"/techlibs/microchip/uSRAM_map.v share/microchip/uSRAM_map.v mkdir -p share/microchip +cp "./"/techlibs/microchip/uSRAM_map.v share/microchip/uSRAM_map.v cp "./"/techlibs/microchip/uSRAM.txt share/microchip/uSRAM.txt mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/arith_map.v share/nanoxplore/arith_map.v mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/arith_map.v share/nanoxplore/arith_map.v cp "./"/techlibs/nanoxplore/brams_init.vh share/nanoxplore/brams_init.vh mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams_map.v share/nanoxplore/brams_map.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/brams.txt share/nanoxplore/brams.txt mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/brams.txt share/nanoxplore/brams.txt cp "./"/techlibs/nanoxplore/cells_bb.v share/nanoxplore/cells_bb.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_l.v -mkdir -p share/nanoxplore mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_l.v cp "./"/techlibs/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_m.v -cp "./"/techlibs/nanoxplore/cells_bb_u.v share/nanoxplore/cells_bb_u.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/cells_map.v share/nanoxplore/cells_map.v mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/cells_map.v share/nanoxplore/cells_map.v +cp "./"/techlibs/nanoxplore/cells_bb_u.v share/nanoxplore/cells_bb_u.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim.v share/nanoxplore/cells_sim.v +mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_u.v share/nanoxplore/cells_sim_u.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap.v mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap.v cp "./"/techlibs/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_l.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_m.v mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_m.v cp "./"/techlibs/nanoxplore/cells_wrap_u.v share/nanoxplore/cells_wrap_u.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/io_map.v share/nanoxplore/io_map.v mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/io_map.v share/nanoxplore/io_map.v cp "./"/techlibs/nanoxplore/latches_map.v share/nanoxplore/latches_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_init.vh share/nanoxplore/rf_init.vh mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_l.txt mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_m.txt mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_m.txt cp "./"/techlibs/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_u.txt mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_l.v mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_l.v cp "./"/techlibs/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_m.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/rf_rams_map_u.v share/nanoxplore/rf_rams_map_u.v mkdir -p share/nexus +cp "./"/techlibs/nanoxplore/rf_rams_map_u.v share/nanoxplore/rf_rams_map_u.v cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh mkdir -p share/nexus -mkdir -p share/nexus cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v +mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v mkdir -p share/nexus -cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus +cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v mkdir -p share/nexus -cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt -mkdir -p share/nexus mkdir -p share/nexus +cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v +mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v @@ -3261,30 +3297,30 @@ cp "./"/techlibs/quicklogic/common/cells_sim.v share/quicklogic/common/cells_sim.v cp "./"/techlibs/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/ffs_map.v mkdir -p share/quicklogic/pp3 -cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v -mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 +cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v cp "./"/techlibs/quicklogic/pp3/latches_map.v share/quicklogic/pp3/latches_map.v +mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_map.v mkdir -p share/quicklogic/pp3 +mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/cells_sim.v +cp "./"/techlibs/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_model.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 -cp "./"/techlibs/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_model.v cp "./"/techlibs/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_map.v -mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_unmap.v share/quicklogic/pp3/abc9_unmap.v mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/arith_map.v cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams.txt mkdir -p share/quicklogic/qlf_k6n10f -cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v -mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f +cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v -cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/brams_sim.v +mkdir -p share/quicklogic/qlf_k6n10f mkdir -p techlibs/quicklogic/qlf_k6n10f/ && python3 techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v +cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/brams_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v mkdir -p share/quicklogic/qlf_k6n10f @@ -3292,62 +3328,62 @@ mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_sim.v mkdir -p share/quicklogic/qlf_k6n10f -cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v -mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f +cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v +mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v mkdir -p share/quicklogic/qlf_k6n10f -cp "./"/techlibs/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v mkdir -p share/quicklogic/qlf_k6n10f -mkdir -p share/sf2 +cp "./"/techlibs/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v cp "./"/techlibs/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v -cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 +mkdir -p share/sf2 +cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/sf2 +mkdir -p share/xilinx cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v +cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx mkdir -p share/xilinx -cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v -mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt -cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt +cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v -cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt mkdir -p share/xilinx +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v -mkdir -p share/xilinx mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt +mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v mkdir -p share/xilinx +mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt +cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v mkdir -p share/xilinx mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v -mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v +mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v @@ -3356,50 +3392,50 @@ cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v -mkdir -p share/xilinx mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v +mkdir -p share/xilinx mkdir -p abc && make -C abc -f "/build/reproducible-path/yosys-0.52/abc/Makefile" ABCSRC="/build/reproducible-path/yosys-0.52/abc" CC="g++" CXX="g++" ABC_USE_LIBSTDCXX=1 ABC_USE_NAMESPACE=abc VERBOSE= ARCHFLAGS="-DABC_USE_STDINT_H """ PROG="abc" MSG_PREFIX="-> ABC: " cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p kernel/ +mkdir -p kernel/ +g++ -o kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.cc +g++ -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc +mkdir -p frontends/rtlil/ make[3]: Entering directory '/build/reproducible-path/yosys-0.52/abc' -> ABC: Using CC=g++ -> ABC: Using CXX=g++ -> ABC: Using AR=ar -> ABC: Using LD=g++ -g++ -o kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.cc -mkdir -p kernel/ -g++ -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc -mkdir -p frontends/rtlil/ +g++ -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc -> ABC: Compiling in namespace -> ABC: Compiling with CUDD -> ABC: Using libreadline -> ABC: Using pthreads -g++ -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc -> ABC: Found GCC_VERSION 14 -> ABC: Found GCC_MAJOR>=5 -> ABC: Using explicit -lstdc++ -> ABC: Using CFLAGS=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable +mkdir -p frontends/rtlil/ +g++ -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc -> ABC: `` Generating dependency: /src/map/if/acd/ac_wrapper.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/acd/ac_wrapper.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/map/if/acd/ac_wrapper.cpp > src/map/if/acd/ac_wrapper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p frontends/rtlil/ -g++ -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc -> ABC: `` Generating dependency: /src/opt/rar/rewire_miaig.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rar/rewire_miaig.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/rar/rewire_miaig.cpp > src/opt/rar/rewire_miaig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3409,11 +3445,15 @@ -> ABC: `` Generating dependency: /src/opt/eslim/eSLIM.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/eslim/eSLIM.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/eslim/eSLIM.cpp > src/opt/eslim/eSLIM.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucose.cpp -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/glucose/AbcGlucose.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucose.cpp > src/sat/glucose/AbcGlucose.d mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc +mkdir -p frontends/verilog/ +flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l +-> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucose.cpp +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/glucose/AbcGlucose.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucose.cpp > src/sat/glucose/AbcGlucose.d +mkdir -p passes/opt/ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -o passes/opt/peepopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/peepopt.cc -> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucoseCmd.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/glucose/AbcGlucoseCmd.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucoseCmd.cpp > src/sat/glucose/AbcGlucoseCmd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3431,7 +3471,11 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucose2.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/glucose2/AbcGlucose2.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucose2.cpp > src/sat/glucose2/AbcGlucose2.d +mkdir -p passes/pmgen/ +g++ -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p techlibs/ice40/ +g++ -o techlibs/ice40/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_wrapcarry.cc -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucoseCmd2.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/glucose2/AbcGlucoseCmd2.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucoseCmd2.cpp > src/sat/glucose2/AbcGlucoseCmd2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3447,6 +3491,8 @@ -> ABC: `` Generating dependency: /src/sat/glucose2/System2.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/glucose2/System2.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/System2.cpp > src/sat/glucose2/System2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p techlibs/microchip/ +g++ -o techlibs/microchip/microchip_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dsp.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_analyze.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_analyze.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_analyze.cpp > src/sat/cadical/cadical_analyze.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3455,26 +3501,18 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_assume.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_assume.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_assume.cpp > src/sat/cadical/cadical_assume.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p frontends/verilog/ -flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l -mkdir -p passes/opt/ -g++ -o passes/opt/peepopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/peepopt.cc -mkdir -p passes/pmgen/ -g++ -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_averages.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_averages.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_averages.cpp > src/sat/cadical/cadical_averages.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p techlibs/quicklogic/ +g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_backtrack.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_backtrack.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_backtrack.cpp > src/sat/cadical/cadical_backtrack.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p techlibs/ice40/ -g++ -o techlibs/ice40/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_wrapcarry.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_backward.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_backward.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_backward.cpp > src/sat/cadical/cadical_backward.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p techlibs/microchip/ -g++ -o techlibs/microchip/microchip_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dsp.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_bins.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_bins.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_bins.cpp > src/sat/cadical/cadical_bins.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3490,8 +3528,12 @@ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_clause.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_clause.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_clause.cpp > src/sat/cadical/cadical_clause.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p techlibs/xilinx/ +g++ -o techlibs/xilinx/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dsp.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_collect.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_collect.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_collect.cpp > src/sat/cadical/cadical_collect.d +mkdir -p ./ +g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_compact.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_compact.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_compact.cpp > src/sat/cadical/cadical_compact.d @@ -3502,15 +3544,23 @@ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_config.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_config.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_config.cpp > src/sat/cadical/cadical_config.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/gatemate +cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib +mkdir -p share/gatemate +cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v -> ABC: `` Generating dependency: /src/sat/cadical/cadical_congruence.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_congruence.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_congruence.cpp > src/sat/cadical/cadical_congruence.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_constrain.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_constrain.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_constrain.cpp > src/sat/cadical/cadical_constrain.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/quicklogic/qlf_k6n10f +cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v -> ABC: `` Generating dependency: /src/sat/cadical/cadical_contract.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_contract.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_contract.cpp > src/sat/cadical/cadical_contract.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p frontends/verilog/ +g++ -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_cover.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_cover.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_cover.cpp > src/sat/cadical/cadical_cover.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3567,8 +3617,6 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_gates.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_gates.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_gates.cpp > src/sat/cadical/cadical_gates.d -mkdir -p techlibs/quicklogic/ -g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_idruptracer.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_idruptracer.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_idruptracer.cpp > src/sat/cadical/cadical_idruptracer.d @@ -3578,8 +3626,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_internal.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_internal.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_internal.cpp > src/sat/cadical/cadical_internal.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_ipasir.cpp +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_ipasir.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_ipasir.cpp > src/sat/cadical/cadical_ipasir.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_lidruptracer.cpp @@ -3588,8 +3636,6 @@ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_limit.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_limit.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_limit.cpp > src/sat/cadical/cadical_limit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p techlibs/xilinx/ -g++ -o techlibs/xilinx/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dsp.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_logging.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_logging.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_logging.cpp > src/sat/cadical/cadical_logging.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3610,30 +3656,28 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_minimize.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_minimize.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_minimize.cpp > src/sat/cadical/cadical_minimize.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_occs.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_occs.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_occs.cpp > src/sat/cadical/cadical_occs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_options.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_options.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_options.cpp > src/sat/cadical/cadical_options.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cadical/cadical_phases.cpp -> ABC: `` Generating dependency: /src/sat/cadical/cadical_parse.cpp +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_phases.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_phases.cpp > src/sat/cadical/cadical_phases.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_parse.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_parse.cpp > src/sat/cadical/cadical_parse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/cadical/cadical_phases.cpp -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_phases.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_phases.cpp > src/sat/cadical/cadical_phases.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p ./ -g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Generating dependency: /src/sat/cadical/cadical_probe.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_probe.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_probe.cpp > src/sat/cadical/cadical_probe.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_profile.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_profile.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_profile.cpp > src/sat/cadical/cadical_profile.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_proof.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_proof.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_proof.cpp > src/sat/cadical/cadical_proof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_propagate.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_propagate.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_propagate.cpp > src/sat/cadical/cadical_propagate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3648,10 +3692,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_reduce.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_reduce.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_reduce.cpp > src/sat/cadical/cadical_reduce.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_rephase.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_rephase.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_rephase.cpp > src/sat/cadical/cadical_rephase.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_report.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_report.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_report.cpp > src/sat/cadical/cadical_report.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3661,50 +3705,42 @@ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_restart.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_restart.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_restart.cpp > src/sat/cadical/cadical_restart.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cadical/cadical_score.cpp -> ABC: `` Generating dependency: /src/sat/cadical/cadical_restore.cpp +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_score.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_score.cpp > src/sat/cadical/cadical_score.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_restore.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_restore.cpp > src/sat/cadical/cadical_restore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/cadical/cadical_score.cpp -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_score.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_score.cpp > src/sat/cadical/cadical_score.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_shrink.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_shrink.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_shrink.cpp > src/sat/cadical/cadical_shrink.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_signal.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_signal.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_signal.cpp > src/sat/cadical/cadical_signal.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_solution.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_solution.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_solution.cpp > src/sat/cadical/cadical_solution.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_solver.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_solver.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_solver.cpp > src/sat/cadical/cadical_solver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_stable.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_stable.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_stable.cpp > src/sat/cadical/cadical_stable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_stats.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_stats.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_stats.cpp > src/sat/cadical/cadical_stats.d -mkdir -p share/gatemate -cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib -mkdir -p share/gatemate -cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v -mkdir -p share/quicklogic/qlf_k6n10f -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v -mkdir -p frontends/verilog/ -g++ -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_subsume.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_subsume.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_subsume.cpp > src/sat/cadical/cadical_subsume.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_sweep.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_sweep.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_sweep.cpp > src/sat/cadical/cadical_sweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_terminal.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_terminal.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_terminal.cpp > src/sat/cadical/cadical_terminal.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_ternary.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_ternary.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_ternary.cpp > src/sat/cadical/cadical_ternary.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_tier.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_tier.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_tier.cpp > src/sat/cadical/cadical_tier.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3713,8 +3749,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_unstable.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_unstable.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_unstable.cpp > src/sat/cadical/cadical_unstable.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_util.cpp +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_util.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_util.cpp > src/sat/cadical/cadical_util.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_var.cpp @@ -3722,16 +3758,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_veripbtracer.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_veripbtracer.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_veripbtracer.cpp > src/sat/cadical/cadical_veripbtracer.d --> ABC: `` Generating dependency: /src/sat/cadical/cadical_version.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cadical/cadical_version.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_version.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_version.cpp > src/sat/cadical/cadical_version.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_vivify.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_vivify.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_vivify.cpp > src/sat/cadical/cadical_vivify.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_walk.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_walk.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_walk.cpp > src/sat/cadical/cadical_walk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_watch.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_watch.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_watch.cpp > src/sat/cadical/cadical_watch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3751,11 +3787,11 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcBarBuf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c > src/base/abc/abcBarBuf.d -> ABC: `` Generating dependency: /src/base/abc/abcBlifMv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c > src/base/abc/abcBlifMv.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcCheck.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c > src/base/abc/abcCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcDfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3769,11 +3805,11 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcFunc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c > src/base/abc/abcFunc.d -> ABC: `` Generating dependency: /src/base/abc/abcHie.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcHie.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c > src/base/abc/abcHie.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHieCec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcHieCec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c > src/base/abc/abcHieCec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHieGia.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcHieGia.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c > src/base/abc/abcHieGia.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3782,20 +3818,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcLatch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcLatch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c > src/base/abc/abcLatch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c > src/base/abc/abcLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcMinBase.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcMinBase.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c > src/base/abc/abcMinBase.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcNames.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcNames.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c > src/base/abc/abcNames.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcNetlist.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcNetlist.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c > src/base/abc/abcNetlist.d -> ABC: `` Generating dependency: /src/base/abc/abcNtk.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c > src/base/abc/abcNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcObj.c @@ -3804,57 +3839,57 @@ -> ABC: `` Generating dependency: /src/base/abc/abcRefs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcRefs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c > src/base/abc/abcRefs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcShow.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c > src/base/abc/abcShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcSop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcSop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c > src/base/abc/abcSop.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c > src/base/abc/abcUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c > src/base/abci/abc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAttach.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcAttach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c > src/base/abci/abcAttach.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAuto.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcAuto.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c > src/base/abci/abcAuto.d --> ABC: `` Generating dependency: /src/base/abci/abcBalance.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcBalance.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c > src/base/abci/abcBalance.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcBalance.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcBalance.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c > src/base/abci/abcBalance.d -> ABC: `` Generating dependency: /src/base/abci/abcBidec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcBidec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c > src/base/abci/abcBidec.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcBm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c > src/base/abci/abcBm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBmc.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcBmc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c > src/base/abci/abcBmc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCas.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcBmc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c > src/base/abci/abcBmc.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcCas.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c > src/base/abci/abcCas.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcCascade.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcCascade.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c > src/base/abci/abcCascade.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCollapse.c +-> ABC: `` Generating dependency: /src/base/abci/abcCascade.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcCascade.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c > src/base/abci/abcCascade.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcCollapse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c > src/base/abci/abcCollapse.d -> ABC: `` Generating dependency: /src/base/abci/abcCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c > src/base/abci/abcCut.d +-> ABC: `` Generating dependency: /src/base/abci/abcDar.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDar.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c > src/base/abci/abcDar.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcDar.c -> ABC: `` Generating dependency: /src/base/abci/abcDebug.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDar.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c > src/base/abci/abcDar.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDebug.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c > src/base/abci/abcDebug.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c > src/base/abci/abcDec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDetect.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDetect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c > src/base/abci/abcDetect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3863,37 +3898,37 @@ -> ABC: `` Generating dependency: /src/base/abci/abcDress2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDress2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c > src/base/abci/abcDress2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcDress3.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDress3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c > src/base/abci/abcDress3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcDress3.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDress3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c > src/base/abci/abcDress3.d -> ABC: `` Generating dependency: /src/base/abci/abcDsd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c > src/base/abci/abcDsd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcEco.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcEco.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c > src/base/abci/abcEco.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcExact.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcExact.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c > src/base/abci/abcExact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcExtract.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcExtract.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c > src/base/abci/abcExtract.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFraig.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcFraig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c > src/base/abci/abcFraig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcFraig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c > src/base/abci/abcFraig.d -> ABC: `` Generating dependency: /src/base/abci/abcFx.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcFx.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c > src/base/abci/abcFx.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFxu.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcFxu.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcGen.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c > src/base/abci/abcGen.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcHaig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcHaig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c > src/base/abci/abcHaig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcIf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c > src/base/abci/abcIf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3902,298 +3937,299 @@ -> ABC: `` Generating dependency: /src/base/abci/abcIfMux.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcIfMux.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c > src/base/abci/abcIfMux.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIvy.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcIvy.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c > src/base/abci/abcIvy.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcLog.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcLog.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c > src/base/abci/abcLog.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcLut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c > src/base/abci/abcLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcLutmin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcLutmin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c > src/base/abci/abcLutmin.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcMerge.c -> ABC: `` Generating dependency: /src/base/abci/abcMap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c > src/base/abci/abcMap.d --> ABC: `` Generating dependency: /src/base/abci/abcMerge.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c > src/base/abci/abcMerge.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c > src/base/abci/abcMerge.d -> ABC: `` Generating dependency: /src/base/abci/abcMfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c > src/base/abci/abcMfs.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMini.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMini.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c > src/base/abci/abcMini.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMiter.c --> ABC: `` Generating dependency: /src/base/abci/abcMulti.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMiter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c > src/base/abci/abcMiter.d +-> ABC: `` Generating dependency: /src/base/abci/abcMulti.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMulti.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c > src/base/abci/abcMulti.d --> ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcNtbdd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c > src/base/abci/abcNtbdd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcNtbdd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c > src/base/abci/abcNtbdd.d -> ABC: `` Generating dependency: /src/base/abci/abcNpn.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcNpn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c > src/base/abci/abcNpn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNpnSave.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcNpnSave.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c > src/base/abci/abcNpnSave.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOrchestration.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcOrchestration.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrchestration.c > src/base/abci/abcOrchestration.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOdc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcOdc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c > src/base/abci/abcOdc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOrder.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcOrder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c > src/base/abci/abcOrder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcPart.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcPart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c > src/base/abci/abcPart.d -> ABC: `` Generating dependency: /src/base/abci/abcPrint.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcPrint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c > src/base/abci/abcPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcProve.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcProve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c > src/base/abci/abcProve.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcQbf.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcQbf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c > src/base/abci/abcQbf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcProve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c > src/base/abci/abcProve.d -> ABC: `` Generating dependency: /src/base/abci/abcQuant.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcQbf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c > src/base/abci/abcQbf.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcQuant.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c > src/base/abci/abcQuant.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRec3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRec3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c > src/base/abci/abcRec3.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReconv.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcReconv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c > src/base/abci/abcReconv.d -> ABC: `` Generating dependency: /src/base/abci/abcReach.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcReconv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c > src/base/abci/abcReconv.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcReach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c > src/base/abci/abcReach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRefactor.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRefactor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c > src/base/abci/abcRefactor.d +-> ABC: `` Generating dependency: /src/base/abci/abcRenode.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRenode.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c > src/base/abci/abcRenode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcRenode.c -> ABC: `` Generating dependency: /src/base/abci/abcReorder.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRenode.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c > src/base/abci/abcRenode.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcReorder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c > src/base/abci/abcReorder.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRestruct.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRestruct.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c > src/base/abci/abcRestruct.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcResub.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcResub.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c > src/base/abci/abcResub.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRewrite.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRewrite.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c > src/base/abci/abcRewrite.d --> ABC: `` Generating dependency: /src/base/abci/abcRpo.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcRpo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRpo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c > src/base/abci/abcRpo.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c > src/base/abci/abcRr.d -> ABC: `` Generating dependency: /src/base/abci/abcRunGen.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRunGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c > src/base/abci/abcRunGen.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSat.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c > src/base/abci/abcSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRunGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c > src/base/abci/abcRunGen.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c > src/base/abci/abcSat.d -> ABC: `` Generating dependency: /src/base/abci/abcSaucy.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSaucy.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c > src/base/abci/abcSaucy.d -> ABC: `` Generating dependency: /src/base/abci/abcScorr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcScorr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c > src/base/abci/abcScorr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSense.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSense.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c > src/base/abci/abcSense.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSpeedup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c > src/base/abci/abcSpeedup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcStrash.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcStrash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c > src/base/abci/abcStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c > src/base/abci/abcSweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSymm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSymm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c > src/base/abci/abcSymm.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcTim.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcTim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c > src/base/abci/abcTim.d -> ABC: `` Generating dependency: /src/base/abci/abcTiming.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcTim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c > src/base/abci/abcTim.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcTiming.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c > src/base/abci/abcTiming.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcUnate.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcUnate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c > src/base/abci/abcUnate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcUnate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c > src/base/abci/abcUnate.d -> ABC: `` Generating dependency: /src/base/abci/abcUnreach.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcUnreach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c > src/base/abci/abcUnreach.d --> ABC: `` Generating dependency: /src/base/abci/abcVerify.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcVerify.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c > src/base/abci/abcVerify.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcVerify.c -> ABC: `` Generating dependency: /src/base/abci/abcXsim.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcVerify.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c > src/base/abci/abcVerify.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcXsim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c > src/base/abci/abcXsim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c > src/base/cmd/cmd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdAlias.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdAlias.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c > src/base/cmd/cmdAlias.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdApi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c > src/base/cmd/cmdApi.d -> ABC: `` Generating dependency: /src/base/cmd/cmdAuto.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdAuto.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c > src/base/cmd/cmdAuto.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdAuto.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c > src/base/cmd/cmdAuto.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdFlag.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c > src/base/cmd/cmdFlag.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdHist.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdHist.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c > src/base/cmd/cmdHist.d --> ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdLoad.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c > src/base/cmd/cmdLoad.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c -> ABC: `` Generating dependency: /src/base/cmd/cmdPlugin.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdLoad.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c > src/base/cmd/cmdLoad.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdPlugin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c > src/base/cmd/cmdPlugin.d -> ABC: `` Generating dependency: /src/base/cmd/cmdStarter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdStarter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c > src/base/cmd/cmdStarter.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdUtils.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c > src/base/cmd/cmdUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c > src/base/cmd/cmdUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/io.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/io.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c > src/base/io/io.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioJson.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioJson.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c > src/base/io/ioJson.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadAiger.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadAiger.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c > src/base/io/ioReadAiger.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBaf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBaf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c > src/base/io/ioReadBaf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/io/ioReadBblif.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBblif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c > src/base/io/ioReadBblif.d -> ABC: `` Generating dependency: /src/base/io/ioReadBench.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBench.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c > src/base/io/ioReadBench.d +-> ABC: `` Generating dependency: /src/base/io/ioReadBblif.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBblif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c > src/base/io/ioReadBblif.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlif.c --> ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c > src/base/io/ioReadBlif.d +-> ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBlifAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c > src/base/io/ioReadBlifAig.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlifMv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c > src/base/io/ioReadBlifMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadDsd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c > src/base/io/ioReadDsd.d -> ABC: `` Generating dependency: /src/base/io/ioReadEdif.c +-> ABC: `` Generating dependency: /src/base/io/ioReadEqn.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadEdif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c > src/base/io/ioReadEdif.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadEqn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c > src/base/io/ioReadEqn.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/io/ioReadEqn.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadEqn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c > src/base/io/ioReadEqn.d -> ABC: `` Generating dependency: /src/base/io/ioReadPla.c --> ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadPla.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c > src/base/io/ioReadPla.d +-> ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadPlaMo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c > src/base/io/ioReadPlaMo.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadVerilog.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c > src/base/io/ioReadVerilog.d -> ABC: `` Generating dependency: /src/base/io/ioUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c > src/base/io/ioUtil.d +-> ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteAiger.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c > src/base/io/ioWriteAiger.d -> ABC: `` Generating dependency: /src/base/io/ioWriteBaf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBaf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c > src/base/io/ioWriteBaf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBblif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBblif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c > src/base/io/ioWriteBblif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBench.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBench.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c > src/base/io/ioWriteBench.d -> ABC: `` Generating dependency: /src/base/io/ioWriteBlif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c > src/base/io/ioWriteBlif.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c > src/base/io/ioWriteBlifMv.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBook.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBook.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c > src/base/io/ioWriteBook.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c > src/base/io/ioWriteCnf.d -> ABC: `` Generating dependency: /src/base/io/ioWriteDot.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteDot.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c > src/base/io/ioWriteDot.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteEqn.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteEqn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c > src/base/io/ioWriteEqn.d -> ABC: `` Generating dependency: /src/base/io/ioWriteEdgelist.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteEqn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c > src/base/io/ioWriteEqn.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteEdgelist.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c > src/base/io/ioWriteEdgelist.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteGml.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteGml.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c > src/base/io/ioWriteGml.d --> ABC: `` Generating dependency: /src/base/io/ioWriteHMetis.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteHMetis.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteHMetis.c > src/base/io/ioWriteHMetis.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/io/ioWriteHMetis.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteHMetis.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteHMetis.c > src/base/io/ioWriteHMetis.d -> ABC: `` Generating dependency: /src/base/io/ioWriteList.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteList.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c > src/base/io/ioWriteList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteList.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c > src/base/io/ioWriteList.d -> ABC: `` Generating dependency: /src/base/io/ioWritePla.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWritePla.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c > src/base/io/ioWritePla.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteVerilog.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteVerilog.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c > src/base/io/ioWriteVerilog.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteSmv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c > src/base/io/ioWriteSmv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/main.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/main.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c > src/base/main/main.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainFrame.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/mainFrame.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c > src/base/main/mainFrame.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainInit.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/mainInit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c > src/base/main/mainInit.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainLib.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/mainLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c > src/base/main/mainLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/mainLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c > src/base/main/mainLib.d -> ABC: `` Generating dependency: /src/base/main/mainReal.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/mainReal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c > src/base/main/mainReal.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/libSupport.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/libSupport.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c > src/base/main/libSupport.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainUtils.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/mainUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c > src/base/main/mainUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exor.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c > src/base/exor/exor.d -> ABC: `` Generating dependency: /src/base/exor/exorBits.c @@ -4202,85 +4238,85 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorCubes.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exorCubes.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c > src/base/exor/exorCubes.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorLink.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exorLink.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c > src/base/exor/exorLink.d -> ABC: `` Generating dependency: /src/base/exor/exorList.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exorList.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c > src/base/exor/exorList.d --> ABC: `` Generating dependency: /src/base/exor/exorUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exorUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c > src/base/exor/exorUtil.d --> ABC: `` Generating dependency: /src/base/ver/verCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/ver/verCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c > src/base/ver/verCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/exor/exorUtil.c +-> ABC: `` Generating dependency: /src/base/ver/verCore.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exorUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c > src/base/exor/exorUtil.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/ver/verCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c > src/base/ver/verCore.d -> ABC: `` Generating dependency: /src/base/ver/verFormula.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/ver/verFormula.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c > src/base/ver/verFormula.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verParse.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/ver/verParse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c > src/base/ver/verParse.d -> ABC: `` Generating dependency: /src/base/ver/verStream.c --> ABC: `` Generating dependency: /src/base/wlc/wlcAbs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/ver/verStream.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c > src/base/ver/verStream.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c > src/base/wlc/wlcAbs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wlc/wlcAbs.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c > src/base/wlc/wlcAbs.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcAbs2.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbs2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c > src/base/wlc/wlcAbs2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbs2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c > src/base/wlc/wlcAbs2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcAbc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c > src/base/wlc/wlcAbc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcPth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcPth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c > src/base/wlc/wlcPth.d --> ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcBlast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcBlast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d -> ABC: `` Generating dependency: /src/base/wlc/wlcCom.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c > src/base/wlc/wlcCom.d -> ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcGraft.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c > src/base/wlc/wlcGraft.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/wlc/wlcJson.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcJson.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c > src/base/wlc/wlcJson.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wlc/wlcJson.c -> ABC: `` Generating dependency: /src/base/wlc/wlcMem.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcJson.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c > src/base/wlc/wlcJson.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c > src/base/wlc/wlcMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcNdr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcNdr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c > src/base/wlc/wlcNdr.d --> ABC: `` Generating dependency: /src/base/wlc/wlcNtk.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c > src/base/wlc/wlcNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wlc/wlcNtk.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c > src/base/wlc/wlcNtk.d -> ABC: `` Generating dependency: /src/base/wlc/wlcReadSmt.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcReadSmt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c > src/base/wlc/wlcReadSmt.d -> ABC: `` Generating dependency: /src/base/wlc/wlcReadVer.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcReadVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c > src/base/wlc/wlcReadVer.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c > src/base/wlc/wlcSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcShow.c --> ABC: `` Generating dependency: /src/base/wlc/wlcStdin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c > src/base/wlc/wlcShow.d +-> ABC: `` Generating dependency: /src/base/wlc/wlcStdin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcStdin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c > src/base/wlc/wlcStdin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcUif.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcUif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c > src/base/wlc/wlcUif.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcWin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c > src/base/wlc/wlcWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c > src/base/wlc/wlcWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wln.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wln.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c > src/base/wln/wln.d -> ABC: `` Generating dependency: /src/base/wln/wlnBlast.c @@ -4289,75 +4325,74 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnCom.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c > src/base/wln/wlnCom.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnGuide.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnGuide.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c > src/base/wln/wlnGuide.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnMem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c > src/base/wln/wlnMem.d -> ABC: `` Generating dependency: /src/base/wln/wlnNdr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnNdr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c > src/base/wln/wlnNdr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/wln/wlnNtk.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c > src/base/wln/wlnNtk.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnObj.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnObj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c > src/base/wln/wlnObj.d +-> ABC: `` Generating dependency: /src/base/wln/wlnNtk.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c > src/base/wln/wlnNtk.d -> ABC: `` Generating dependency: /src/base/wln/wlnRead.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c > src/base/wln/wlnRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRetime.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnRetime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c > src/base/wln/wlnRetime.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRtl.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnRtl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c > src/base/wln/wlnRtl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnWlc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnWlc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c > src/base/wln/wlnWlc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnWriteVer.c --> ABC: `` Generating dependency: /src/base/acb/acbAbc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c > src/base/wln/wlnWriteVer.d +-> ABC: `` Generating dependency: /src/base/acb/acbAbc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbAbc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c > src/base/acb/acbAbc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c > src/base/acb/acbAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbCom.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c > src/base/acb/acbCom.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbFunc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbFunc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c > src/base/acb/acbFunc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbMfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbMfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c > src/base/acb/acbMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbPush.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbPush.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c > src/base/acb/acbPush.d -> ABC: `` Generating dependency: /src/base/acb/acbSets.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbPush.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c > src/base/acb/acbPush.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbSets.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c > src/base/acb/acbSets.d -> ABC: `` Generating dependency: /src/base/acb/acbTest.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbTest.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c > src/base/acb/acbTest.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbUtil.c --> ABC: `` Generating dependency: /src/base/bac/bacBlast.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c > src/base/acb/acbUtil.d +-> ABC: `` Generating dependency: /src/base/bac/bacBlast.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacBlast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c > src/base/bac/bacBlast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacBac.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacBac.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c > src/base/bac/bacBac.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacCom.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c > src/base/bac/bacCom.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c > src/base/bac/bacLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacNtk.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c > src/base/bac/bacNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4369,63 +4404,62 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPtr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacPtr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c > src/base/bac/bacPtr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPtrAbc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacPtrAbc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c > src/base/bac/bacPtrAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c > src/base/bac/bacReadBlif.d -> ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacReadSmt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c > src/base/bac/bacReadSmt.d -> ABC: `` Generating dependency: /src/base/bac/bacReadVer.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacReadVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d -> ABC: `` Generating dependency: /src/base/bac/bacWriteBlif.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacReadSmt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c > src/base/bac/bacReadSmt.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacReadVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c > src/base/bac/bacWriteBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacWriteSmt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c > src/base/bac/bacWriteSmt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacWriteSmt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c > src/base/bac/bacWriteSmt.d -> ABC: `` Generating dependency: /src/base/bac/bacWriteVer.c --> ABC: `` Generating dependency: /src/base/cba/cbaBlast.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c > src/base/bac/bacWriteVer.d +-> ABC: `` Generating dependency: /src/base/cba/cbaBlast.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaBlast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c > src/base/cba/cbaBlast.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaCba.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaCba.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c > src/base/cba/cbaCba.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaCba.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c > src/base/cba/cbaCba.d -> ABC: `` Generating dependency: /src/base/cba/cbaCom.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d -> ABC: `` Generating dependency: /src/base/cba/cbaNtk.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaReadBlif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c > src/base/cba/cbaReadBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaReadVer.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaReadVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c > src/base/cba/cbaReadVer.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaWriteBlif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c > src/base/cba/cbaWriteBlif.d -> ABC: `` Generating dependency: /src/base/cba/cbaWriteVer.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c > src/base/cba/cbaWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaCom.c --> ABC: `` Generating dependency: /src/base/pla/plaHash.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c > src/base/pla/plaCom.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaHash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c > src/base/pla/plaHash.d --> ABC: `` Generating dependency: /src/base/pla/plaMan.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c > src/base/pla/plaMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/pla/plaHash.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaHash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c > src/base/pla/plaHash.d +-> ABC: `` Generating dependency: /src/base/pla/plaMan.c -> ABC: `` Generating dependency: /src/base/pla/plaMerge.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c > src/base/pla/plaMan.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c > src/base/pla/plaMerge.d -> ABC: `` Generating dependency: /src/base/pla/plaSimple.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaSimple.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c > src/base/pla/plaSimple.d @@ -4434,118 +4468,119 @@ -> ABC: `` Generating dependency: /src/base/pla/plaRead.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c > src/base/pla/plaRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaWrite.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaWrite.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c > src/base/pla/plaWrite.d -> ABC: `` Generating dependency: /src/base/test/test.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/test/test.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c > src/base/test/test.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapper.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c > src/map/mapper/mapper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c > src/map/mapper/mapper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCanon.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c > src/map/mapper/mapperCanon.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/mapper/mapperCreate.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCreate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c > src/map/mapper/mapperCreate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c > src/map/mapper/mapperCore.d --> ABC: `` Generating dependency: /src/map/mapper/mapperCreate.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCreate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c > src/map/mapper/mapperCreate.d -> ABC: `` Generating dependency: /src/map/mapper/mapperCut.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c > src/map/mapper/mapperCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCutUtils.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCutUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c > src/map/mapper/mapperCutUtils.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c > src/map/mapper/mapperCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c > src/map/mapper/mapperLib.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperMatch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperMatch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c > src/map/mapper/mapperMatch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperRefs.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperRefs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c > src/map/mapper/mapperRefs.d --> ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperSuper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c > src/map/mapper/mapperSuper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperSwitch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperSwitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c > src/map/mapper/mapperSwitch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperSuper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c > src/map/mapper/mapperSuper.d -> ABC: `` Generating dependency: /src/map/mapper/mapperTable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c > src/map/mapper/mapperTable.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTime.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c > src/map/mapper/mapperTime.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTree.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperTree.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c > src/map/mapper/mapperTree.d -> ABC: `` Generating dependency: /src/map/mapper/mapperTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c > src/map/mapper/mapperTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperUtils.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c > src/map/mapper/mapperUtils.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperVec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperVec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c > src/map/mapper/mapperVec.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mio.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mio.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c > src/map/mio/mio.d --> ABC: `` Generating dependency: /src/map/mio/mioApi.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c > src/map/mio/mioApi.d -> ABC: `` Generating dependency: /src/map/mio/mioFunc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioFunc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c > src/map/mio/mioFunc.d +-> ABC: `` Generating dependency: /src/map/mio/mioApi.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c > src/map/mio/mioApi.d +-> ABC: `` Generating dependency: /src/map/mio/mioParse.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioParse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c > src/map/mio/mioParse.d +-> ABC: `` Generating dependency: /src/map/mio/mioRead.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c > src/map/mio/mioRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/mio/mioParse.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioParse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c > src/map/mio/mioParse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/mio/mioRead.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c > src/map/mio/mioRead.d -> ABC: `` Generating dependency: /src/map/mio/mioSop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioSop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c > src/map/mio/mioSop.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioUtils.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c > src/map/mio/mioUtils.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/super/super.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/super/super.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c > src/map/super/super.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/super/superAnd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/super/superAnd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c > src/map/super/superAnd.d -> ABC: `` Generating dependency: /src/map/super/superGate.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/super/superGate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c > src/map/super/superGate.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCom.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c > src/map/if/ifCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCache.c --> ABC: `` Generating dependency: /src/map/if/ifCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifCache.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c > src/map/if/ifCache.d +-> ABC: `` Generating dependency: /src/map/if/ifCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c > src/map/if/ifCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c > src/map/if/ifCut.d -> ABC: `` Generating dependency: /src/map/if/ifData2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifData2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c > src/map/if/ifData2.d -> ABC: `` Generating dependency: /src/map/if/ifDec07.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec07.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c > src/map/if/ifDec07.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec08.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec07.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c > src/map/if/ifDec07.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec08.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c > src/map/if/ifDec08.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec10.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec10.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c > src/map/if/ifDec10.d +-> ABC: `` Generating dependency: /src/map/if/ifDec16.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/if/ifDec16.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec16.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c > src/map/if/ifDec16.d -> ABC: `` Generating dependency: /src/map/if/ifDec66.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec66.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec66.c > src/map/if/ifDec66.d @@ -4553,102 +4588,102 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec75.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec75.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c > src/map/if/ifDec75.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDelay.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDelay.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c > src/map/if/ifDelay.d --> ABC: `` Generating dependency: /src/map/if/ifDsd.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c > src/map/if/ifDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifDsd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c > src/map/if/ifDsd.d -> ABC: `` Generating dependency: /src/map/if/ifLibBox.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifLibBox.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c > src/map/if/ifLibBox.d --> ABC: `` Generating dependency: /src/map/if/ifLibLut.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifLibLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c > src/map/if/ifLibLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifLibLut.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifLibLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c > src/map/if/ifLibLut.d -> ABC: `` Generating dependency: /src/map/if/ifMan.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c > src/map/if/ifMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifMap.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c > src/map/if/ifMan.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c > src/map/if/ifMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/if/ifMatch2.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifMatch2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c > src/map/if/ifMatch2.d -> ABC: `` Generating dependency: /src/map/if/ifReduce.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifReduce.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c > src/map/if/ifReduce.d +-> ABC: `` Generating dependency: /src/map/if/ifMatch2.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifMatch2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c > src/map/if/ifMatch2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c > src/map/if/ifSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSelect.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifSelect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c > src/map/if/ifSelect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSeq.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c > src/map/if/ifSeq.d -> ABC: `` Generating dependency: /src/map/if/ifTest.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c > src/map/if/ifSeq.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifTest.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c > src/map/if/ifTest.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTime.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c > src/map/if/ifTime.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c > src/map/if/ifTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTune.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifTune.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c > src/map/if/ifTune.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c > src/map/if/ifUtil.d --> ABC: `` Generating dependency: /src/map/amap/amapCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c > src/map/amap/amapCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/amap/amapGraph.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapGraph.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/amap/amapGraph.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapGraph.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d +-> ABC: `` Generating dependency: /src/map/amap/amapCore.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c > src/map/amap/amapCore.d -> ABC: `` Generating dependency: /src/map/amap/amapLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c > src/map/amap/amapLib.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapLiberty.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapLiberty.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d -> ABC: `` Generating dependency: /src/map/amap/amapMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c > src/map/amap/amapMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapLiberty.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d -> ABC: `` Generating dependency: /src/map/amap/amapMatch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapMatch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c > src/map/amap/amapMatch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapMerge.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapOutput.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapOutput.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d -> ABC: `` Generating dependency: /src/map/amap/amapParse.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapOutput.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapParse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c > src/map/amap/amapParse.d -> ABC: `` Generating dependency: /src/map/amap/amapPerm.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapPerm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c > src/map/amap/amapPerm.d -> ABC: `` Generating dependency: /src/map/amap/amapRead.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c > src/map/amap/amapRead.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapRule.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c > src/map/amap/amapRead.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapRule.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c > src/map/amap/amapRule.d +-> ABC: `` Generating dependency: /src/map/amap/amapUniq.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/amap/amapUniq.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapUniq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c > src/map/amap/amapUniq.d -> ABC: `` Generating dependency: /src/map/cov/covBuild.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covBuild.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c > src/map/cov/covBuild.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/cov/covMan.c -> ABC: `` Generating dependency: /src/map/cov/covCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c > src/map/cov/covCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/cov/covMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c > src/map/cov/covMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMinEsop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covMinEsop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c > src/map/cov/covMinEsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4658,19 +4693,19 @@ -> ABC: `` Generating dependency: /src/map/cov/covMinSop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covMinSop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c > src/map/cov/covMinSop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMinUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covMinUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c > src/map/cov/covMinUtil.d -> ABC: `` Generating dependency: /src/map/scl/scl.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covMinUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c > src/map/cov/covMinUtil.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/scl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c > src/map/scl/scl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclBuffer.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclBuffer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c > src/map/scl/sclBuffer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclBufSize.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclBufSize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c > src/map/scl/sclBufSize.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclDnsize.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclBufSize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c > src/map/scl/sclBufSize.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclDnsize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c > src/map/scl/sclDnsize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4679,99 +4714,100 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLibScl.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclLibScl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c > src/map/scl/sclLibScl.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLibUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclLibUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c > src/map/scl/sclLibUtil.d -> ABC: `` Generating dependency: /src/map/scl/sclLoad.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclLoad.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c > src/map/scl/sclLoad.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclSize.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclSize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c > src/map/scl/sclSize.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclUpsize.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclUpsize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c > src/map/scl/sclUpsize.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c > src/map/scl/sclUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclUpsize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c > src/map/scl/sclUpsize.d -> ABC: `` Generating dependency: /src/map/mpm/mpmAbc.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c > src/map/scl/sclUtil.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmAbc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c > src/map/mpm/mpmAbc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c > src/map/mpm/mpmCore.d -> ABC: `` Generating dependency: /src/map/mpm/mpmDsd.c +-> ABC: `` Generating dependency: /src/map/mpm/mpmGates.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c > src/map/mpm/mpmDsd.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmGates.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c > src/map/mpm/mpmGates.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/mpm/mpmGates.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmGates.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c > src/map/mpm/mpmGates.d -> ABC: `` Generating dependency: /src/map/mpm/mpmLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c > src/map/mpm/mpmLib.d +-> ABC: `` Generating dependency: /src/map/mpm/mpmMap.c -> ABC: `` Generating dependency: /src/map/mpm/mpmMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c > src/map/mpm/mpmMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/mpm/mpmMap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c > src/map/mpm/mpmMap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMig.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmMig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c > src/map/mpm/mpmMig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmPre.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmPre.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c > src/map/mpm/mpmPre.d -> ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c > src/map/mpm/mpmTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c > src/map/mpm/mpmTruth.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c > src/map/mpm/mpmUtil.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCanon.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c > src/misc/extra/extraUtilCanon.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c > src/misc/extra/extraUtilCfs.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCube.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCube.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c > src/misc/extra/extraUtilCube.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c > src/misc/extra/extraUtilDsd.d +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilEnum.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c > src/misc/extra/extraUtilEnum.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilEnum.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c > src/misc/extra/extraUtilEnum.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilFile.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilFile.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c > src/misc/extra/extraUtilFile.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c > src/misc/extra/extraUtilGen.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMacc.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c > src/misc/extra/extraUtilGen.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMacc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c > src/misc/extra/extraUtilMacc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMaj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c > src/misc/extra/extraUtilMaj.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMemory.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMemory.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c > src/misc/extra/extraUtilMemory.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMisc.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMisc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c > src/misc/extra/extraUtilMisc.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMult.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMult.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c > src/misc/extra/extraUtilMult.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMisc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c > src/misc/extra/extraUtilMisc.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilPath.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMult.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c > src/misc/extra/extraUtilMult.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilPath.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c > src/misc/extra/extraUtilPath.d +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilPerm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c > src/misc/extra/extraUtilPerm.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilProgress.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilProgress.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c > src/misc/extra/extraUtilProgress.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4782,176 +4818,172 @@ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilSupp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c > src/misc/extra/extraUtilSupp.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c > src/misc/extra/extraUtilTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c > src/misc/extra/extraUtilUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcApi.c +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c > src/misc/mvc/mvcApi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcCompare.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCompare.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c > src/misc/mvc/mvcCompare.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcContain.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c > src/misc/extra/extraUtilUtil.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCompare.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c > src/misc/mvc/mvcCompare.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcContain.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c > src/misc/mvc/mvcContain.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCover.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c > src/misc/mvc/mvcCover.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCube.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c > src/misc/mvc/mvcCube.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivide.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcDivide.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c > src/misc/mvc/mvcDivide.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcDivisor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c > src/misc/mvc/mvcDivisor.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcList.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcList.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c > src/misc/mvc/mvcList.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcLits.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c > src/misc/mvc/mvcLits.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcMan.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c > src/misc/mvc/mvcMan.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c --> ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c > src/misc/mvc/mvcMan.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcOpAlg.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c > src/misc/mvc/mvcOpAlg.d +-> ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcOpBool.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c > src/misc/mvc/mvcOpBool.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcPrint.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcPrint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c > src/misc/mvc/mvcPrint.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcPrint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c > src/misc/mvc/mvcPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcSort.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcSort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c > src/misc/mvc/mvcSort.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcSort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c > src/misc/mvc/mvcSort.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c > src/misc/mvc/mvcUtils.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/st/st.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/st/st.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c > src/misc/st/st.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/st/stmm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/st/stmm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c > src/misc/st/stmm.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilBridge.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilBridge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c > src/misc/util/utilBridge.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/util/utilCex.c -> ABC: `` Generating dependency: /src/misc/util/utilBSet.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilBridge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c > src/misc/util/utilBridge.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilBSet.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBSet.c > src/misc/util/utilBSet.d --> ABC: `` Generating dependency: /src/misc/util/utilCex.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilCex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c > src/misc/util/utilCex.d -> ABC: `` Generating dependency: /src/misc/util/utilColor.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilColor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c > src/misc/util/utilColor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilFile.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilFile.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c > src/misc/util/utilFile.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilIsop.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilIsop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c > src/misc/util/utilIsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilNam.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilNam.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c > src/misc/util/utilNam.d -> ABC: `` Generating dependency: /src/misc/util/utilPth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilPth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilPth.c > src/misc/util/utilPth.d --> ABC: `` Generating dependency: /src/misc/util/utilSignal.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilSignal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c > src/misc/util/utilSignal.d --> ABC: `` Generating dependency: /src/misc/util/utilSort.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilSort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c > src/misc/util/utilSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/util/utilSort.c +-> ABC: `` Generating dependency: /src/misc/util/utilSignal.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilSignal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c > src/misc/util/utilSignal.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilSort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c > src/misc/util/utilSort.d -> ABC: `` Generating dependency: /src/misc/nm/nmApi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/nm/nmApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c > src/misc/nm/nmApi.d --> ABC: `` Generating dependency: /src/misc/nm/nmTable.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/nm/nmTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c > src/misc/nm/nmTable.d +-> ABC: `` Generating dependency: /src/misc/tim/timDump.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timDump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c > src/misc/tim/timDump.d -> ABC: `` Generating dependency: /src/misc/tim/timBox.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timBox.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c > src/misc/tim/timBox.d +-> ABC: `` Generating dependency: /src/misc/nm/nmTable.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/tim/timDump.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timDump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c > src/misc/tim/timDump.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/nm/nmTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c > src/misc/nm/nmTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timMan.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c > src/misc/tim/timMan.d -> ABC: `` Generating dependency: /src/misc/tim/timTime.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c > src/misc/tim/timTime.d --> ABC: `` Generating dependency: /src/misc/tim/timTrav.c --> ABC: `` Generating dependency: /src/misc/mem/mem.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timTrav.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c > src/misc/tim/timTrav.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mem/mem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c > src/misc/mem/mem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c > src/misc/tim/timMan.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c > src/misc/tim/timTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/tim/timTrav.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/mem/mem.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mem/mem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c > src/misc/mem/mem.d -> ABC: `` Generating dependency: /src/misc/bar/bar.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timTrav.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c > src/misc/tim/timTrav.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/bar/bar.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c > src/misc/bar/bar.d -> ABC: `` Generating dependency: /src/misc/bbl/bblif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/bbl/bblif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c > src/misc/bbl/bblif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/parse/parseEqn.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/parse/parseEqn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c > src/misc/parse/parseEqn.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/parse/parseStack.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/parse/parseStack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c > src/misc/parse/parseStack.d -> ABC: `` Generating dependency: /src/opt/cut/cutApi.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c > src/opt/cut/cutApi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutCut.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c > src/opt/cut/cutApi.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c > src/opt/cut/cutCut.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c > src/opt/cut/cutMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutMerge.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c > src/opt/cut/cutMerge.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutNode.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutNode.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c > src/opt/cut/cutNode.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutOracle.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutOracle.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c > src/opt/cut/cutOracle.d -> ABC: `` Generating dependency: /src/opt/cut/cutPre22.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutPre22.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c > src/opt/cut/cutPre22.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutSeq.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c > src/opt/cut/cutSeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutTruth.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c > src/opt/cut/cutSeq.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c > src/opt/cut/cutTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxu.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxu.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c > src/opt/fxu/fxu.d --> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapD.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuCreate.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuCreate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c > src/opt/fxu/fxuCreate.d +-> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapD.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuHeapD.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c > src/opt/fxu/fxuHeapD.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuHeapS.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c > src/opt/fxu/fxuHeapS.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuList.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuHeapS.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c > src/opt/fxu/fxuHeapS.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuList.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c > src/opt/fxu/fxuList.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuMatrix.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuMatrix.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c > src/opt/fxu/fxuMatrix.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuPair.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuMatrix.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c > src/opt/fxu/fxuMatrix.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuPair.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c > src/opt/fxu/fxuPair.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuPrint.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuPrint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c > src/opt/fxu/fxuPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4959,537 +4991,540 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuReduce.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c > src/opt/fxu/fxuReduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuSelect.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuSelect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c > src/opt/fxu/fxuSelect.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuSingle.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuSingle.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c > src/opt/fxu/fxuSingle.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuUpdate.c --> ABC: `` Generating dependency: /src/opt/fxch/Fxch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuUpdate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c > src/opt/fxu/fxuUpdate.d +-> ABC: `` Generating dependency: /src/opt/fxch/Fxch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxch/Fxch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c > src/opt/fxch/Fxch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxch/FxchDiv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxch/FxchDiv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c > src/opt/fxch/FxchDiv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxch/FxchMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxch/FxchMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c > src/opt/fxch/FxchMan.d -> ABC: `` Generating dependency: /src/opt/fxch/FxchSCHashTable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxch/FxchSCHashTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c > src/opt/fxch/FxchSCHashTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrDec.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c > src/opt/rwr/rwrDec.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrEva.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c > src/opt/rwr/rwrDec.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrEva.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c > src/opt/rwr/rwrEva.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrExp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c > src/opt/rwr/rwrExp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c > src/opt/rwr/rwrLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c > src/opt/rwr/rwrMan.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrPrint.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrPrint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c > src/opt/rwr/rwrPrint.d +-> ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c > src/opt/rwr/rwrMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c > src/opt/rwr/rwrUtil.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsCore.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c > src/opt/mfs/mfsCore.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsDiv.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c > src/opt/mfs/mfsCore.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsDiv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c > src/opt/mfs/mfsDiv.d +-> ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsInter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c > src/opt/mfs/mfsInter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsInter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c > src/opt/mfs/mfsInter.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c > src/opt/mfs/mfsMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsResub.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c > src/opt/mfs/mfsResub.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c +-> ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c > src/opt/mfs/mfsSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsStrash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c > src/opt/mfs/mfsStrash.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c > src/opt/mfs/mfsWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c > src/opt/sim/simMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSeq.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d -> ABC: `` Generating dependency: /src/opt/sim/simSupp.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSupp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c > src/opt/sim/simSupp.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d -> ABC: `` Generating dependency: /src/opt/sim/simSwitch.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSupp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c > src/opt/sim/simSupp.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSwitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c > src/opt/sim/simSwitch.d -> ABC: `` Generating dependency: /src/opt/sim/simSym.c --> ABC: `` Generating dependency: /src/opt/sim/simSymSat.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSym.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c > src/opt/sim/simSym.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/sim/simSymSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSymSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c > src/opt/sim/simSymSat.d -> ABC: `` Generating dependency: /src/opt/sim/simSymSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSymSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c > src/opt/sim/simSymSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymStr.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSymStr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c > src/opt/sim/simSymStr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simUtils.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSymStr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c > src/opt/sim/simSymStr.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c > src/opt/sim/simUtils.d -> ABC: `` Generating dependency: /src/opt/ret/retArea.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retArea.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c > src/opt/ret/retArea.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c > src/opt/ret/retCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retDelay.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retDelay.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c > src/opt/ret/retDelay.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retFlow.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retFlow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c > src/opt/ret/retFlow.d -> ABC: `` Generating dependency: /src/opt/ret/retIncrem.c -> ABC: `` Generating dependency: /src/opt/ret/retInit.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retIncrem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retFlow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c > src/opt/ret/retFlow.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retInit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c > src/opt/ret/retInit.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retIncrem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retLvalue.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retLvalue.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c > src/opt/ret/retLvalue.d +-> ABC: `` Generating dependency: /src/opt/fret/fretFlow.c -> ABC: `` Generating dependency: /src/opt/fret/fretMain.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fret/fretMain.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c > src/opt/fret/fretMain.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/fret/fretFlow.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fret/fretFlow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c > src/opt/fret/fretFlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/fret/fretInit.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fret/fretInit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c > src/opt/fret/fretInit.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretTime.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fret/fretTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d +-> ABC: `` Generating dependency: /src/opt/fret/fretInit.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/res/resCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c > src/opt/res/resCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fret/fretInit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c > src/opt/fret/fretInit.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fret/fretTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d +-> ABC: `` Generating dependency: /src/opt/res/resCore.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c > src/opt/res/resCore.d -> ABC: `` Generating dependency: /src/opt/res/resDivs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resDivs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c > src/opt/res/resDivs.d -> ABC: `` Generating dependency: /src/opt/res/resFilter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resFilter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c > src/opt/res/resFilter.d --> ABC: `` Generating dependency: /src/opt/res/resSat.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c > src/opt/res/resSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/res/resSat.c -> ABC: `` Generating dependency: /src/opt/res/resSim.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d -> ABC: `` Generating dependency: /src/opt/res/resStrash.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c > src/opt/res/resSat.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resStrash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c > src/opt/res/resStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resWin.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkCore.c --> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c > src/opt/lpk/lpkCore.d +-> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c > src/opt/lpk/lpkAbcDec.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcMux.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c > src/opt/lpk/lpkAbcMux.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c > src/opt/lpk/lpkAbcDsd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c > src/opt/lpk/lpkAbcDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/lpk/lpkCut.c -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c > src/opt/lpk/lpkAbcUtil.d --> ABC: `` Generating dependency: /src/opt/lpk/lpkCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c > src/opt/lpk/lpkCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMan.c +-> ABC: `` Generating dependency: /src/opt/lpk/lpkMap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c > src/opt/lpk/lpkMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/lpk/lpkMap.c --> ABC: `` Generating dependency: /src/opt/lpk/lpkMulti.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c > src/opt/lpk/lpkMap.d +-> ABC: `` Generating dependency: /src/opt/lpk/lpkMulti.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMulti.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c > src/opt/lpk/lpkMulti.d --> ABC: `` Generating dependency: /src/opt/lpk/lpkMux.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMux.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c > src/opt/lpk/lpkMux.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/lpk/lpkMux.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMux.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c > src/opt/lpk/lpkMux.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkSets.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkSets.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c > src/opt/lpk/lpkSets.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c > src/opt/nwk/nwkAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkCheck.c --> ABC: `` Generating dependency: /src/opt/nwk/nwkBidec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c > src/opt/nwk/nwkCheck.d +-> ABC: `` Generating dependency: /src/opt/nwk/nwkBidec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkBidec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c > src/opt/nwk/nwkBidec.d --> ABC: `` Generating dependency: /src/opt/nwk/nwkDfs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/nwk/nwkFanio.c +-> ABC: `` Generating dependency: /src/opt/nwk/nwkDfs.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c > src/opt/nwk/nwkDfs.d +-> ABC: `` Generating dependency: /src/opt/nwk/nwkFanio.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkFanio.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c > src/opt/nwk/nwkFanio.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkFlow.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkFlow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c > src/opt/nwk/nwkFlow.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkMan.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c > src/opt/nwk/nwkMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkMap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c > src/opt/nwk/nwkMap.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkMerge.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c > src/opt/nwk/nwkMerge.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkObj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c > src/opt/nwk/nwkObj.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkSpeedup.c +-> ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c > src/opt/nwk/nwkSpeedup.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkObj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c > src/opt/nwk/nwkObj.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkStrash.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkStrash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c > src/opt/nwk/nwkStrash.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkTiming.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkTiming.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c > src/opt/nwk/nwkTiming.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c > src/opt/nwk/nwkUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtDec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwt/rwtDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c > src/opt/rwt/rwtDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwt/rwtMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c > src/opt/rwt/rwtMan.d -> ABC: `` Generating dependency: /src/opt/rwt/rwtUtil.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwt/rwtMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c > src/opt/rwt/rwtMan.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwt/rwtUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c > src/opt/rwt/rwtUtil.d -> ABC: `` Generating dependency: /src/opt/rar/rewire_rng.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rar/rewire_rng.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rng.c > src/opt/rar/rewire_rng.d -> ABC: `` Generating dependency: /src/opt/rar/rewire_map.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rar/rewire_map.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_map.c > src/opt/rar/rewire_map.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rar/rewire_rar.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rar/rewire_rar.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rar.c > src/opt/rar/rewire_rar.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtAig.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cgt/cgtAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c > src/opt/cgt/cgtAig.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c --> ABC: `` Generating dependency: /src/opt/cgt/cgtDecide.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cgt/cgtCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c > src/opt/cgt/cgtCore.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cgt/cgtDecide.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c > src/opt/cgt/cgtDecide.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/cgt/cgtDecide.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cgt/cgtDecide.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c > src/opt/cgt/cgtDecide.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cgt/cgtMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c > src/opt/cgt/cgtMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtSat.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cgt/cgtSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c > src/opt/cgt/cgtSat.d --> ABC: `` Generating dependency: /src/opt/csw/cswCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswCut.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/csw/cswCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c > src/opt/csw/cswCore.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/csw/cswCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c > src/opt/csw/cswCut.d +-> ABC: `` Generating dependency: /src/opt/csw/cswCore.c -> ABC: `` Generating dependency: /src/opt/csw/cswMan.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/csw/cswCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c > src/opt/csw/cswCore.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/csw/cswMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c > src/opt/csw/cswMan.d -> ABC: `` Generating dependency: /src/opt/csw/cswTable.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/csw/cswTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c > src/opt/csw/cswTable.d -> ABC: `` Generating dependency: /src/opt/dar/darBalance.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darBalance.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c > src/opt/dar/darBalance.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c > src/opt/dar/darCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dar/darData.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dar/darLib.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darCut.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c > src/opt/dar/darCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/dar/darData.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darData.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c > src/opt/dar/darData.d --> ABC: `` Generating dependency: /src/opt/dar/darLib.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c > src/opt/dar/darCut.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c > src/opt/dar/darLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c > src/opt/dar/darMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darPrec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darPrec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c > src/opt/dar/darPrec.d -> ABC: `` Generating dependency: /src/opt/dar/darRefact.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darRefact.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c > src/opt/dar/darRefact.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darScript.c --> ABC: `` Generating dependency: /src/opt/dau/dauCanon.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darScript.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c > src/opt/dar/darScript.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dau/dauCanon.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c > src/opt/dau/dauCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c > src/opt/dau/dauCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauCount.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauCount.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c > src/opt/dau/dauCount.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauDivs.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauDivs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c > src/opt/dau/dauDivs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauCount.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c > src/opt/dau/dauCount.d -> ABC: `` Generating dependency: /src/opt/dau/dauDsd.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c > src/opt/dau/dauDsd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauEnum.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauEnum.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c > src/opt/dau/dauEnum.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauDivs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c > src/opt/dau/dauDivs.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c > src/opt/dau/dauDsd.d -> ABC: `` Generating dependency: /src/opt/dau/dauGia.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauGia.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c > src/opt/dau/dauGia.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauEnum.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c > src/opt/dau/dauEnum.d -> ABC: `` Generating dependency: /src/opt/dau/dauMerge.c --> ABC: `` Generating dependency: /src/opt/dau/dauNonDsd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauGia.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c > src/opt/dau/dauGia.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c > src/opt/dau/dauMerge.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauNonDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c > src/opt/dau/dauNonDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dau/dauNonDsd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauNonDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c > src/opt/dau/dauNonDsd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauNpn.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauNpn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c > src/opt/dau/dauNpn.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauNpn2.c --> ABC: `` Generating dependency: /src/opt/dau/dauTree.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauNpn2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c > src/opt/dau/dauNpn2.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauTree.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c > src/opt/dau/dauTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dau/dauTree.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauTree.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c > src/opt/dau/dauTree.d -> ABC: `` Generating dependency: /src/opt/dsc/dsc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dsc/dsc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c > src/opt/dsc/dsc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmArea.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmArea.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c > src/opt/sfm/sfmArea.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmCnf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c > src/opt/sfm/sfmCnf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c > src/opt/sfm/sfmCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmDec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c > src/opt/sfm/sfmDec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c > src/opt/sfm/sfmLib.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmNtk.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c > src/opt/sfm/sfmNtk.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmSat.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c > src/opt/sfm/sfmLib.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c > src/opt/sfm/sfmNtk.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c > src/opt/sfm/sfmSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmTim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmTim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c > src/opt/sfm/sfmTim.d +-> ABC: `` Generating dependency: /src/opt/sfm/sfmMit.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/sfm/sfmMit.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmMit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c > src/opt/sfm/sfmMit.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmMit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c > src/opt/sfm/sfmMit.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c > src/opt/sfm/sfmWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c > src/opt/sbd/sbd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCnf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c > src/opt/sbd/sbdCnf.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdCore.c --> ABC: `` Generating dependency: /src/opt/sbd/sbdCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c > src/opt/sbd/sbdCore.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c > src/opt/sbd/sbdCut.d --> ABC: `` Generating dependency: /src/opt/sbd/sbdCut2.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCut2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c > src/opt/sbd/sbdCut2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/sbd/sbdCut.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c > src/opt/sbd/sbdCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/sbd/sbdCut2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCut2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c > src/opt/sbd/sbdCut2.d +-> ABC: `` Generating dependency: /src/opt/sbd/sbdPath.c -> ABC: `` Generating dependency: /src/opt/sbd/sbdLut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c > src/opt/sbd/sbdLut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/sbd/sbdPath.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdPath.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c > src/opt/sbd/sbdPath.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c > src/opt/sbd/sbdSat.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdWin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c > src/opt/sbd/sbdWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satMem.c --> ABC: `` Generating dependency: /src/sat/bsat/satInter.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c > src/sat/bsat/satMem.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bsat/satInter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satInter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c > src/sat/bsat/satInter.d -> ABC: `` Generating dependency: /src/sat/bsat/satInterA.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satInterA.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c > src/sat/bsat/satInterA.d -> ABC: `` Generating dependency: /src/sat/bsat/satInterB.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satInterB.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c > src/sat/bsat/satInterB.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satInterP.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satInterP.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c > src/sat/bsat/satInterP.d -> ABC: `` Generating dependency: /src/sat/bsat/satProof.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satProof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c > src/sat/bsat/satProof.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver.c --> ABC: `` Generating dependency: /src/sat/bsat/satSolver2.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c > src/sat/bsat/satSolver.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bsat/satSolver2.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c > src/sat/bsat/satSolver2.d -> ABC: `` Generating dependency: /src/sat/bsat/satSolver2i.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver2i.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c > src/sat/bsat/satSolver2i.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c > src/sat/bsat/satSolver3.d +-> ABC: `` Generating dependency: /src/sat/bsat/satTrace.c -> ABC: `` Generating dependency: /src/sat/bsat/satStore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satStore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c > src/sat/bsat/satStore.d --> ABC: `` Generating dependency: /src/sat/bsat/satTrace.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satTrace.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c > src/sat/bsat/satTrace.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c > src/sat/bsat/satTruth.d -> ABC: `` Generating dependency: /src/sat/bsat/satUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c > src/sat/bsat/satUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c > src/sat/bsat/satUtil.d -> ABC: `` Generating dependency: /src/sat/xsat/xsatSolver.c +-> ABC: `` Generating dependency: /src/sat/xsat/xsatSolverAPI.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/xsat/xsatSolver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c > src/sat/xsat/xsatSolver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/xsat/xsatSolverAPI.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/xsat/xsatSolverAPI.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c > src/sat/xsat/xsatSolverAPI.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatCnfReader.c -> ABC: `` Generating dependency: /src/sat/satoko/solver.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/xsat/xsatCnfReader.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c > src/sat/xsat/xsatCnfReader.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/satoko/solver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c > src/sat/satoko/solver.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/xsat/xsatCnfReader.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c > src/sat/xsat/xsatCnfReader.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/satoko/solver_api.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/satoko/solver_api.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c > src/sat/satoko/solver_api.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/satoko/solver_api.c -> ABC: `` Generating dependency: /src/sat/satoko/cnf_reader.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/satoko/cnf_reader.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c > src/sat/satoko/cnf_reader.d -> ABC: `` Generating dependency: /src/sat/csat/csat_apis.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/csat/csat_apis.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c > src/sat/csat/csat_apis.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatActivity.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/satoko/solver_api.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c > src/sat/satoko/solver_api.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/satoko/cnf_reader.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c > src/sat/satoko/cnf_reader.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/csat/csat_apis.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c > src/sat/csat/csat_apis.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatActivity.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c > src/sat/msat/msatActivity.d +-> ABC: `` Generating dependency: /src/sat/msat/msatClauseVec.c -> ABC: `` Generating dependency: /src/sat/msat/msatClause.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatClause.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c > src/sat/msat/msatClause.d --> ABC: `` Generating dependency: /src/sat/msat/msatClauseVec.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatClauseVec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c > src/sat/msat/msatClauseVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/msat/msatMem.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/msat/msatMem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c > src/sat/msat/msatMem.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c --> ABC: `` Generating dependency: /src/sat/msat/msatQueue.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatOrderH.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c > src/sat/msat/msatOrderH.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatQueue.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c > src/sat/msat/msatQueue.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/msat/msatQueue.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatQueue.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c > src/sat/msat/msatQueue.d -> ABC: `` Generating dependency: /src/sat/msat/msatRead.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c > src/sat/msat/msatRead.d -> ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c > src/sat/msat/msatRead.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c > src/sat/msat/msatSolverApi.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c > src/sat/msat/msatSolverCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverIo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverIo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c > src/sat/msat/msatSolverIo.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverSearch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverSearch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c > src/sat/msat/msatSolverSearch.d -> ABC: `` Generating dependency: /src/sat/msat/msatSort.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatSort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c > src/sat/msat/msatSort.d -> ABC: `` Generating dependency: /src/sat/msat/msatVec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatVec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c > src/sat/msat/msatVec.d --> ABC: `` Generating dependency: /src/sat/cnf/cnfCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c > src/sat/cnf/cnfCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cnf/cnfCore.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c > src/sat/cnf/cnfCore.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c > src/sat/cnf/cnfCut.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfData.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfData.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c > src/sat/cnf/cnfData.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfFast.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfFast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c > src/sat/cnf/cnfFast.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfMan.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfFast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c > src/sat/cnf/cnfFast.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c > src/sat/cnf/cnfMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfMap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c > src/sat/cnf/cnfMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfPost.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfPost.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c > src/sat/cnf/cnfPost.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/cnf/cnfUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c > src/sat/cnf/cnfUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cnf/cnfUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c > src/sat/cnf/cnfUtil.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfWrite.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfWrite.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c > src/sat/cnf/cnfWrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c > src/sat/bmc/bmcBCore.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c > src/sat/bmc/bmcBmc.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c > src/sat/bmc/bmcBmc.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c > src/sat/bmc/bmcBmc2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc3.c --> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcAnd.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcAnd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c > src/sat/bmc/bmcBmcAnd.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c > src/sat/bmc/bmcBmc3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcAnd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcAnd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c > src/sat/bmc/bmcBmcAnd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmci.c @@ -5497,473 +5532,472 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmci.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c > src/sat/bmc/bmcBmci.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcG.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c > src/sat/bmc/bmcBmcG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcS.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcS.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c > src/sat/bmc/bmcBmcS.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCare.c --> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexCare.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c > src/sat/bmc/bmcCexCare.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c > src/sat/bmc/bmcCexCut.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCut.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexDepth.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c > src/sat/bmc/bmcCexCut.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexDepth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c > src/sat/bmc/bmcCexDepth.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexMin1.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c > src/sat/bmc/bmcCexMin1.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin2.c --> ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexMin2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c > src/sat/bmc/bmcCexMin2.d +-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c +-> ABC: `` Generating dependency: /src/sat/bmc/bmcChain.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexTools.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c > src/sat/bmc/bmcCexTools.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bmc/bmcChain.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcChain.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c > src/sat/bmc/bmcChain.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcClp.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcClp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c > src/sat/bmc/bmcClp.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcClp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c > src/sat/bmc/bmcClp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcEco.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcEco.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c > src/sat/bmc/bmcEco.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcExpand.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c > src/sat/bmc/bmcExpand.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcFault.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcFault.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c > src/sat/bmc/bmcFault.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcFx.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcFx.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c > src/sat/bmc/bmcFx.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcGen.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c > src/sat/bmc/bmcGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcICheck.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcICheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c > src/sat/bmc/bmcICheck.d --> ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcInse.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcInse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c > src/sat/bmc/bmcInse.d +-> ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcLoad.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c > src/sat/bmc/bmcLoad.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c > src/sat/bmc/bmcMaj.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c > src/sat/bmc/bmcMaj.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c > src/sat/bmc/bmcMaj2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj3.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c > src/sat/bmc/bmcMaj3.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaxi.c --> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaxi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c > src/sat/bmc/bmcMaxi.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMesh.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c > src/sat/bmc/bmcMesh.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMesh.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c > src/sat/bmc/bmcMesh.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMesh2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c > src/sat/bmc/bmcMesh2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bmc/bmcMulti.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMulti.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c > src/sat/bmc/bmcMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bmc/bmcMulti.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMulti.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c > src/sat/bmc/bmcMulti.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcUnroll.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcUnroll.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c > src/sat/bmc/bmcUnroll.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/kissatSolver.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kissatSolver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatSolver.c > src/sat/kissat/kissatSolver.d -> ABC: `` Generating dependency: /src/sat/kissat/kissatTest.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kissatSolver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatSolver.c > src/sat/kissat/kissatSolver.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kissatTest.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatTest.c > src/sat/kissat/kissatTest.d -> ABC: `` Generating dependency: /src/sat/kissat/allocate.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/allocate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/allocate.c > src/sat/kissat/allocate.d -> ABC: `` Generating dependency: /src/sat/kissat/analyze.c --> ABC: `` Generating dependency: /src/sat/kissat/ands.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/analyze.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/analyze.c > src/sat/kissat/analyze.d +-> ABC: `` Generating dependency: /src/sat/kissat/ands.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/ands.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ands.c > src/sat/kissat/ands.d --> ABC: `` Generating dependency: /src/sat/kissat/arena.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/arena.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c > src/sat/kissat/arena.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/assign.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/averages.c +-> ABC: `` Generating dependency: /src/sat/kissat/assign.c +-> ABC: `` Generating dependency: /src/sat/kissat/arena.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/arena.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c > src/sat/kissat/arena.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/assign.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/assign.c > src/sat/kissat/assign.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/averages.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/averages.c > src/sat/kissat/averages.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/backtrack.c -> ABC: `` Generating dependency: /src/sat/kissat/backbone.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/backtrack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c > src/sat/kissat/backtrack.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/backbone.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backbone.c > src/sat/kissat/backbone.d --> ABC: `` Generating dependency: /src/sat/kissat/backtrack.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/backtrack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c > src/sat/kissat/backtrack.d -> ABC: `` Generating dependency: /src/sat/kissat/build.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/build.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/build.c > src/sat/kissat/build.d -> ABC: `` Generating dependency: /src/sat/kissat/bump.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/bump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c > src/sat/kissat/bump.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/check.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/check.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c > src/sat/kissat/check.d -> ABC: `` Generating dependency: /src/sat/kissat/classify.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/classify.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/classify.c > src/sat/kissat/classify.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/clause.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/clause.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/clause.c > src/sat/kissat/clause.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/collect.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/collect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/collect.c > src/sat/kissat/collect.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/colors.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/collect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/collect.c > src/sat/kissat/collect.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/colors.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c > src/sat/kissat/colors.d -> ABC: `` Generating dependency: /src/sat/kissat/compact.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/compact.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c > src/sat/kissat/compact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/compact.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c > src/sat/kissat/compact.d -> ABC: `` Generating dependency: /src/sat/kissat/config.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/config.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/config.c > src/sat/kissat/config.d -> ABC: `` Generating dependency: /src/sat/kissat/congruence.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/congruence.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c > src/sat/kissat/congruence.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/decide.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/decide.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c > src/sat/kissat/decide.d -> ABC: `` Generating dependency: /src/sat/kissat/deduce.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/congruence.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c > src/sat/kissat/congruence.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/decide.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c > src/sat/kissat/decide.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/deduce.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/deduce.c > src/sat/kissat/deduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/definition.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/definition.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/definition.c > src/sat/kissat/definition.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/dump.c -> ABC: `` Generating dependency: /src/sat/kissat/dense.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/dense.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c > src/sat/kissat/dense.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/dump.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/dump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c > src/sat/kissat/dump.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/dense.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c > src/sat/kissat/dense.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/dump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c > src/sat/kissat/dump.d -> ABC: `` Generating dependency: /src/sat/kissat/eliminate.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/eliminate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/eliminate.c > src/sat/kissat/eliminate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/equivalences.c --> ABC: `` Generating dependency: /src/sat/kissat/error.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/equivalences.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/equivalences.c > src/sat/kissat/equivalences.d +-> ABC: `` Generating dependency: /src/sat/kissat/error.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/error.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/error.c > src/sat/kissat/error.d -> ABC: `` Generating dependency: /src/sat/kissat/extend.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/extend.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/extend.c > src/sat/kissat/extend.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/factor.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/factor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/factor.c > src/sat/kissat/factor.d -> ABC: `` Generating dependency: /src/sat/kissat/fastel.c --> ABC: `` Generating dependency: /src/sat/kissat/file.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/fastel.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/fastel.c > src/sat/kissat/fastel.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/file.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c > src/sat/kissat/file.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/file.c -> ABC: `` Generating dependency: /src/sat/kissat/flags.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/file.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c > src/sat/kissat/file.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/flags.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/flags.c > src/sat/kissat/flags.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/format.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/format.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/format.c > src/sat/kissat/format.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/format.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/format.c > src/sat/kissat/format.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/forward.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/forward.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/forward.c > src/sat/kissat/forward.d -> ABC: `` Generating dependency: /src/sat/kissat/gates.c --> ABC: `` Generating dependency: /src/sat/kissat/heap.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/forward.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/forward.c > src/sat/kissat/forward.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/gates.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/gates.c > src/sat/kissat/gates.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/heap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c > src/sat/kissat/heap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/heap.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/heap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c > src/sat/kissat/heap.d -> ABC: `` Generating dependency: /src/sat/kissat/ifthenelse.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/ifthenelse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ifthenelse.c > src/sat/kissat/ifthenelse.d -> ABC: `` Generating dependency: /src/sat/kissat/import.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/import.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/import.c > src/sat/kissat/import.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/internal.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/internal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/internal.c > src/sat/kissat/internal.d -> ABC: `` Generating dependency: /src/sat/kissat/kimits.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/internal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/internal.c > src/sat/kissat/internal.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kimits.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kimits.c > src/sat/kissat/kimits.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/kitten.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kitten.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kitten.c > src/sat/kissat/kitten.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/krite.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/krite.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c > src/sat/kissat/krite.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/learn.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/krite.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c > src/sat/kissat/krite.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/learn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/learn.c > src/sat/kissat/learn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/logging.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/logging.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/logging.c > src/sat/kissat/logging.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/kucky.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kucky.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kucky.c > src/sat/kissat/kucky.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/minimize.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/minimize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c > src/sat/kissat/minimize.d -> ABC: `` Generating dependency: /src/sat/kissat/mode.c --> ABC: `` Generating dependency: /src/sat/kissat/kptions.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/mode.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/mode.c > src/sat/kissat/mode.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/kptions.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kptions.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kptions.c > src/sat/kissat/kptions.d -> ABC: `` Generating dependency: /src/sat/kissat/phases.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/phases.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/phases.c > src/sat/kissat/phases.d --> ABC: `` Generating dependency: /src/sat/kissat/preprocess.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/preprocess.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/preprocess.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c > src/sat/kissat/preprocess.d --> ABC: `` Generating dependency: /src/sat/kissat/print.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/print.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/print.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/print.c > src/sat/kissat/print.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/probe.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/probe.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/probe.c > src/sat/kissat/probe.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/promote.c -> ABC: `` Generating dependency: /src/sat/kissat/profile.c +-> ABC: `` Generating dependency: /src/sat/kissat/proof.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/profile.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/profile.c > src/sat/kissat/profile.d --> ABC: `` Generating dependency: /src/sat/kissat/promote.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/promote.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c > src/sat/kissat/promote.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/proof.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/promote.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c > src/sat/kissat/promote.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/proof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proof.c > src/sat/kissat/proof.d -> ABC: `` Generating dependency: /src/sat/kissat/propbeyond.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/propbeyond.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propbeyond.c > src/sat/kissat/propbeyond.d -> ABC: `` Generating dependency: /src/sat/kissat/propdense.c --> ABC: `` Generating dependency: /src/sat/kissat/propinitially.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/propdense.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propdense.c > src/sat/kissat/propdense.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/propinitially.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propinitially.c > src/sat/kissat/propinitially.d +-> ABC: `` Generating dependency: /src/sat/kissat/propinitially.c -> ABC: `` Generating dependency: /src/sat/kissat/proprobe.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/propinitially.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propinitially.c > src/sat/kissat/propinitially.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/proprobe.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c > src/sat/kissat/proprobe.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/propsearch.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/propsearch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c > src/sat/kissat/propsearch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/propsearch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c > src/sat/kissat/propsearch.d -> ABC: `` Generating dependency: /src/sat/kissat/queue.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/queue.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/queue.c > src/sat/kissat/queue.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/reduce.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/reduce.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reduce.c > src/sat/kissat/reduce.d -> ABC: `` Generating dependency: /src/sat/kissat/reluctant.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/reduce.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reduce.c > src/sat/kissat/reduce.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/reluctant.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reluctant.c > src/sat/kissat/reluctant.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/reorder.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/reorder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reorder.c > src/sat/kissat/reorder.d -> ABC: `` Generating dependency: /src/sat/kissat/rephase.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/rephase.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/rephase.c > src/sat/kissat/rephase.d -> ABC: `` Generating dependency: /src/sat/kissat/report.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/report.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c > src/sat/kissat/report.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/resize.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/report.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c > src/sat/kissat/report.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/resize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c > src/sat/kissat/resize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/resolve.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/resolve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resolve.c > src/sat/kissat/resolve.d -> ABC: `` Generating dependency: /src/sat/kissat/resources.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/resources.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resources.c > src/sat/kissat/resources.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/restart.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/restart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c > src/sat/kissat/restart.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/search.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/resources.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resources.c > src/sat/kissat/resources.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/restart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c > src/sat/kissat/restart.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/search.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/search.c > src/sat/kissat/search.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/shrink.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/shrink.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/shrink.c > src/sat/kissat/shrink.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/smooth.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/smooth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/smooth.c > src/sat/kissat/smooth.d -> ABC: `` Generating dependency: /src/sat/kissat/sort.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/sort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sort.c > src/sat/kissat/sort.d -> ABC: `` Generating dependency: /src/sat/kissat/stack.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/stack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c > src/sat/kissat/stack.d -> ABC: `` Generating dependency: /src/sat/kissat/statistics.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/statistics.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/statistics.c > src/sat/kissat/statistics.d --> ABC: `` Generating dependency: /src/sat/kissat/strengthen.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/strengthen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c > src/sat/kissat/strengthen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/strengthen.c -> ABC: `` Generating dependency: /src/sat/kissat/substitute.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/strengthen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c > src/sat/kissat/strengthen.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/substitute.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c > src/sat/kissat/substitute.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/substitute.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c > src/sat/kissat/substitute.d -> ABC: `` Generating dependency: /src/sat/kissat/sweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/sweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sweep.c > src/sat/kissat/sweep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/terminate.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/terminate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/terminate.c > src/sat/kissat/terminate.d -> ABC: `` Generating dependency: /src/sat/kissat/tiers.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/terminate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/terminate.c > src/sat/kissat/terminate.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/tiers.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/tiers.c > src/sat/kissat/tiers.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/trail.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/trail.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/trail.c > src/sat/kissat/trail.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/transitive.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/transitive.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/transitive.c > src/sat/kissat/transitive.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/utilities.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/utilities.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/utilities.c > src/sat/kissat/utilities.d -> ABC: `` Generating dependency: /src/sat/kissat/vector.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/utilities.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/utilities.c > src/sat/kissat/utilities.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/vector.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c > src/sat/kissat/vector.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/vivify.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/vivify.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vivify.c > src/sat/kissat/vivify.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/walk.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/vivify.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vivify.c > src/sat/kissat/vivify.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/walk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c > src/sat/kissat/walk.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/warmup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/warmup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/warmup.c > src/sat/kissat/warmup.d -> ABC: `` Generating dependency: /src/sat/kissat/watch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/watch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/watch.c > src/sat/kissat/watch.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/weaken.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/weaken.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/weaken.c > src/sat/kissat/weaken.d --> ABC: `` Generating dependency: /src/sat/cadical/cadicalSolver.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cadical/cadicalSolver.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadicalSolver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalSolver.c > src/sat/cadical/cadicalSolver.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/cadical/cadicalTest.c -> ABC: `` Generating dependency: /src/sat/cadical/cadical_kitten.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadicalTest.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalTest.c > src/sat/cadical/cadicalTest.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_kitten.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadical_kitten.c > src/sat/cadical/cadical_kitten.d +-> ABC: `` Generating dependency: /src/sat/cadical/cadicalTest.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadicalTest.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalTest.c > src/sat/cadical/cadicalTest.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/bdc/bdcCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c > src/bool/bdc/bdcCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/bdc/bdcCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c > src/bool/bdc/bdcCore.d -> ABC: `` Generating dependency: /src/bool/bdc/bdcDec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/bdc/bdcDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c > src/bool/bdc/bdcDec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcSpfd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/bdc/bdcSpfd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c > src/bool/bdc/bdcSpfd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/bdc/bdcTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c > src/bool/bdc/bdcTable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c -> ABC: `` Generating dependency: /src/bool/dec/decAbc.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/bdc/bdcTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c > src/bool/bdc/bdcTable.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decAbc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c > src/bool/dec/decAbc.d --> ABC: `` Generating dependency: /src/bool/dec/decFactor.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decFactor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c > src/bool/dec/decFactor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/dec/decFactor.c -> ABC: `` Generating dependency: /src/bool/dec/decMan.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decFactor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c > src/bool/dec/decFactor.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c > src/bool/dec/decMan.d -> ABC: `` Generating dependency: /src/bool/dec/decPrint.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decPrint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c > src/bool/dec/decPrint.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/dec/decUtil.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c > src/bool/dec/decUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitAig.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c > src/bool/kit/kitAig.d -> ABC: `` Generating dependency: /src/bool/kit/kitBdd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitBdd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c > src/bool/kit/kitBdd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitCloud.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitCloud.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c > src/bool/kit/kitCloud.d -> ABC: `` Generating dependency: /src/bool/kit/cloud.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/cloud.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c > src/bool/kit/cloud.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitDsd.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c > src/bool/kit/kitDsd.d -> ABC: `` Generating dependency: /src/bool/kit/kitFactor.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitFactor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c > src/bool/kit/kitFactor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitGraph.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitGraph.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c > src/bool/kit/kitGraph.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitHop.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitGraph.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c > src/bool/kit/kitGraph.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitHop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c > src/bool/kit/kitHop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitIsop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitIsop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c > src/bool/kit/kitIsop.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitPla.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitPla.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c > src/bool/kit/kitPla.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitSop.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitSop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c > src/bool/kit/kitSop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitTruth.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c > src/bool/kit/kitTruth.d -> ABC: `` Generating dependency: /src/bool/lucky/lucky.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c > src/bool/kit/kitTruth.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/lucky.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c > src/bool/lucky/lucky.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckyFast16.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckyFast16.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c > src/bool/lucky/luckyFast16.d --> ABC: `` Generating dependency: /src/bool/lucky/luckyFast6.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckyFast6.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c > src/bool/lucky/luckyFast6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/lucky/luckyFast6.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckyFast6.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c > src/bool/lucky/luckyFast6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckyRead.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckyRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c > src/bool/lucky/luckyRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckySimple.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c > src/bool/lucky/luckySimple.d --> ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckySwapIJ.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c > src/bool/lucky/luckySwapIJ.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c +-> ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckySwapIJ.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c > src/bool/lucky/luckySwapIJ.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckySimple.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c > src/bool/lucky/luckySimple.d -> ABC: `` Generating dependency: /src/bool/lucky/luckySwap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckySwap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c > src/bool/lucky/luckySwap.d -> ABC: `` Generating dependency: /src/bool/rsb/rsbDec6.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/rsb/rsbDec6.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c > src/bool/rsb/rsbDec6.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/rsb/rsbMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/rsb/rsbMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c > src/bool/rsb/rsbMan.d -> ABC: `` Generating dependency: /src/bool/rpo/rpo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/rpo/rpo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c > src/bool/rpo/rpo.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrCnf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c > src/proof/pdr/pdrCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrIncr.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrIncr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c > src/proof/pdr/pdrIncr.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrInv.c --> ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrIncr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c > src/proof/pdr/pdrIncr.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrInv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c > src/proof/pdr/pdrInv.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c > src/proof/pdr/pdrMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c > src/proof/pdr/pdrMan.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrSat.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c > src/proof/pdr/pdrSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5971,215 +6005,217 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c > src/proof/pdr/pdrTsim.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c > src/proof/pdr/pdrTsim2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c > src/proof/pdr/pdrTsim3.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c > src/proof/pdr/pdrUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absDup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c > src/proof/abs/absDup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absGla.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absGla.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c > src/proof/abs/absGla.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absGlaOld.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c > src/proof/abs/absGlaOld.d -> ABC: `` Generating dependency: /src/proof/abs/absIter.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absIter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c > src/proof/abs/absIter.d -> ABC: `` Generating dependency: /src/proof/abs/absOldCex.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOldCex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c > src/proof/abs/absOldCex.d -> ABC: `` Generating dependency: /src/proof/abs/absOldRef.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOldRef.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c > src/proof/abs/absOldRef.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOldCex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c > src/proof/abs/absOldCex.d -> ABC: `` Generating dependency: /src/proof/abs/absOldSat.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOldRef.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c > src/proof/abs/absOldRef.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOldSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c > src/proof/abs/absOldSat.d -> ABC: `` Generating dependency: /src/proof/abs/absOldSim.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOldSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c > src/proof/abs/absOldSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c > src/proof/abs/absOut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absPth.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absPth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c > src/proof/abs/absPth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absRef.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absPth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c > src/proof/abs/absPth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absRef.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c > src/proof/abs/absRef.d -> ABC: `` Generating dependency: /src/proof/abs/absRefSelect.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absRefSelect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c > src/proof/abs/absRefSelect.d -> ABC: `` Generating dependency: /src/proof/abs/absRpm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absRpm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c > src/proof/abs/absRpm.d -> ABC: `` Generating dependency: /src/proof/abs/absRpmOld.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absRpmOld.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c > src/proof/abs/absRpmOld.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absVta.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absVta.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c > src/proof/abs/absVta.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absUtil.c -> ABC: `` Generating dependency: /src/proof/live/liveness.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c > src/proof/abs/absUtil.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/liveness.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c > src/proof/live/liveness.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/liveness_sim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/liveness_sim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c > src/proof/live/liveness_sim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/ltl_parser.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/ltl_parser.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c > src/proof/live/ltl_parser.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/kliveness.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/kliveness.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c > src/proof/live/kliveness.d -> ABC: `` Generating dependency: /src/proof/live/monotone.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/monotone.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c > src/proof/live/monotone.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/live/arenaViolation.c -> ABC: `` Generating dependency: /src/proof/live/disjunctiveMonotone.c +-> ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/disjunctiveMonotone.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c > src/proof/live/disjunctiveMonotone.d --> ABC: `` Generating dependency: /src/proof/live/arenaViolation.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/arenaViolation.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c > src/proof/live/arenaViolation.d --> ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/kLiveConstraints.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c > src/proof/live/kLiveConstraints.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/combination.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/combination.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c > src/proof/live/combination.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/combination.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c > src/proof/live/combination.d +-> ABC: `` Generating dependency: /src/proof/ssc/sscCore.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c > src/proof/ssc/sscCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscClass.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c > src/proof/ssc/sscClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/ssc/sscCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c > src/proof/ssc/sscCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscSat.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c > src/proof/ssc/sscSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c > src/proof/ssc/sscSim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c > src/proof/ssc/sscUtil.d -> ABC: `` Generating dependency: /src/proof/int/intCheck.c --> ABC: `` Generating dependency: /src/proof/int/intContain.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c > src/proof/int/intCheck.d +-> ABC: `` Generating dependency: /src/proof/int/intContain.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intContain.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c > src/proof/int/intContain.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c > src/proof/int/intCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intCtrex.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intCtrex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c > src/proof/int/intCtrex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intDup.c --> ABC: `` Generating dependency: /src/proof/int/intFrames.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c > src/proof/int/intDup.d +-> ABC: `` Generating dependency: /src/proof/int/intFrames.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intFrames.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c > src/proof/int/intFrames.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intInter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intInter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c > src/proof/int/intInter.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intM114.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intM114.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c > src/proof/int/intM114.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intMan.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intM114.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c > src/proof/int/intM114.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c > src/proof/int/intMan.d -> ABC: `` Generating dependency: /src/proof/int/intUtil.c --> ABC: `` Generating dependency: /src/proof/cec/cecCec.c --> ABC: `` Generating dependency: /src/proof/cec/cecChoice.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c > src/proof/int/intUtil.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecCec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c > src/proof/cec/cecCec.d --> ABC: `` Generating dependency: /src/proof/cec/cecClass.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecChoice.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c > src/proof/cec/cecChoice.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c > src/proof/cec/cecClass.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/cec/cecCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c > src/proof/cec/cecCore.d +-> ABC: `` Generating dependency: /src/proof/cec/cecCec.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecCec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c > src/proof/cec/cecCec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/cec/cecChoice.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/cec/cecCorr.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecCorr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c > src/proof/cec/cecCorr.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecChoice.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c > src/proof/cec/cecChoice.d +-> ABC: `` Generating dependency: /src/proof/cec/cecClass.c +-> ABC: `` Generating dependency: /src/proof/cec/cecCore.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c > src/proof/cec/cecClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c > src/proof/cec/cecCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecIso.c +-> ABC: `` Generating dependency: /src/proof/cec/cecCorr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecIso.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c > src/proof/cec/cecIso.d -> ABC: `` Generating dependency: /src/proof/cec/cecMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecCorr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c > src/proof/cec/cecCorr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c > src/proof/cec/cecMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecPat.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecPat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c > src/proof/cec/cecPat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecPat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c > src/proof/cec/cecPat.d -> ABC: `` Generating dependency: /src/proof/cec/cecProve.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecProve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecProve.c > src/proof/cec/cecProve.d -> ABC: `` Generating dependency: /src/proof/cec/cecSat.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c > src/proof/cec/cecSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSatG.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecProve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecProve.c > src/proof/cec/cecProve.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c > src/proof/cec/cecSatG.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSatG2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c > src/proof/cec/cecSatG2.d -> ABC: `` Generating dependency: /src/proof/cec/cecSatG3.c --> ABC: `` Generating dependency: /src/proof/cec/cecSeq.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c > src/proof/cec/cecSatG3.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c > src/proof/cec/cecSeq.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/cec/cecSeq.c -> ABC: `` Generating dependency: /src/proof/cec/cecSim.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c > src/proof/cec/cecSeq.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c > src/proof/cec/cecSim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSolve.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSolve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c > src/proof/cec/cecSolve.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSolveG.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSolveG.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c > src/proof/cec/cecSolveG.d -> ABC: `` Generating dependency: /src/proof/cec/cecSplit.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSplit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c > src/proof/cec/cecSplit.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSynth.c --> ABC: `` Generating dependency: /src/proof/cec/cecSweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSynth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c > src/proof/cec/cecSynth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/cec/cecSweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c > src/proof/cec/cecSweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/acec/acecCl.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecCl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c > src/proof/acec/acecCl.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c > src/proof/acec/acecCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/acec/acecCl.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecCl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c > src/proof/acec/acecCl.d -> ABC: `` Generating dependency: /src/proof/acec/acecCo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecCo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c > src/proof/acec/acecCo.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecBo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecBo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c > src/proof/acec/acecBo.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecRe.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecRe.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c > src/proof/acec/acecRe.d -> ABC: `` Generating dependency: /src/proof/acec/acecPa.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecRe.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c > src/proof/acec/acecRe.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecPa.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c > src/proof/acec/acecPa.d -> ABC: `` Generating dependency: /src/proof/acec/acecPo.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecPo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c > src/proof/acec/acecPo.d -> ABC: `` Generating dependency: /src/proof/acec/acecPool.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecPool.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c > src/proof/acec/acecPool.d -> ABC: `` Generating dependency: /src/proof/acec/acecCover.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecPool.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c > src/proof/acec/acecPool.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecCover.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c > src/proof/acec/acecCover.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6187,56 +6223,55 @@ -> ABC: `` Generating dependency: /src/proof/acec/acecFadds.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecFadds.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c > src/proof/acec/acecFadds.d -> ABC: `` Generating dependency: /src/proof/acec/acecMult.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecMult.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c > src/proof/acec/acecMult.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecNorm.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecMult.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c > src/proof/acec/acecMult.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecNorm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c > src/proof/acec/acecNorm.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecOrder.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecOrder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c > src/proof/acec/acecOrder.d -> ABC: `` Generating dependency: /src/proof/acec/acecPolyn.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecOrder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c > src/proof/acec/acecOrder.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecPolyn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c > src/proof/acec/acecPolyn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecSt.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecSt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c > src/proof/acec/acecSt.d --> ABC: `` Generating dependency: /src/proof/acec/acecTree.c --> ABC: `` Generating dependency: /src/proof/acec/acecUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/acec/acecTree.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecTree.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c > src/proof/acec/acecTree.d +-> ABC: `` Generating dependency: /src/proof/acec/acecUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c > src/proof/acec/acecUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acec2Mult.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acec2Mult.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c > src/proof/acec/acec2Mult.d -> ABC: `` Generating dependency: /src/proof/acec/acecXor.c --> ABC: `` Generating dependency: /src/proof/dch/dchAig.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acec2Mult.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c > src/proof/acec/acec2Mult.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecXor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c > src/proof/acec/acecXor.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c > src/proof/dch/dchAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/dch/dchAig.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c > src/proof/dch/dchAig.d -> ABC: `` Generating dependency: /src/proof/dch/dchChoice.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchChoice.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c > src/proof/dch/dchChoice.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchClass.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c > src/proof/dch/dchClass.d -> ABC: `` Generating dependency: /src/proof/dch/dchCnf.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c > src/proof/dch/dchCnf.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c > src/proof/dch/dchClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c > src/proof/dch/dchCnf.d -> ABC: `` Generating dependency: /src/proof/dch/dchCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchMan.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c > src/proof/dch/dchMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c > src/proof/dch/dchSat.d -> ABC: `` Generating dependency: /src/proof/dch/dchSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c > src/proof/dch/dchSim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchSimSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchSimSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c > src/proof/dch/dchSimSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6244,210 +6279,207 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchSweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchSweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c > src/proof/dch/dchSweep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigApi.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c > src/proof/fraig/fraigApi.d --> ABC: `` Generating dependency: /src/proof/fraig/fraigCanon.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fraig/fraigCanon.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c > src/proof/fraig/fraigCanon.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigFanout.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigFanout.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c > src/proof/fraig/fraigFanout.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigFeed.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigFeed.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c > src/proof/fraig/fraigFeed.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigFanout.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c > src/proof/fraig/fraigFanout.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigFeed.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c > src/proof/fraig/fraigFeed.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c > src/proof/fraig/fraigMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigMem.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c > src/proof/fraig/fraigMem.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c > src/proof/fraig/fraigMem.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigNode.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c > src/proof/fraig/fraigNode.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigPrime.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigPrime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c > src/proof/fraig/fraigPrime.d --> ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c > src/proof/fraig/fraigSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigTable.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c > src/proof/fraig/fraigTable.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c --> ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c > src/proof/fraig/fraigTable.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c > src/proof/fraig/fraigUtil.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigVec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c > src/proof/fraig/fraigVec.d --> ABC: `` Generating dependency: /src/proof/fra/fraBmc.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fra/fraBmc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraBmc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c > src/proof/fra/fraBmc.d +-> ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigVec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c > src/proof/fraig/fraigVec.d -> ABC: `` Generating dependency: /src/proof/fra/fraCec.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraCec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c > src/proof/fra/fraCec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/fra/fraClass.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fra/fraClass.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c > src/proof/fra/fraClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraClau.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraClau.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c > src/proof/fra/fraClau.d -> ABC: `` Generating dependency: /src/proof/fra/fraClaus.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraClaus.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c > src/proof/fra/fraClaus.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraClau.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c > src/proof/fra/fraClau.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraClaus.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c > src/proof/fra/fraClaus.d -> ABC: `` Generating dependency: /src/proof/fra/fraCnf.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c > src/proof/fra/fraCnf.d -> ABC: `` Generating dependency: /src/proof/fra/fraCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c > src/proof/fra/fraCore.d -> ABC: `` Generating dependency: /src/proof/fra/fraHot.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c > src/proof/fra/fraCnf.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraHot.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c > src/proof/fra/fraHot.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraImp.c --> ABC: `` Generating dependency: /src/proof/fra/fraInd.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraImp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c > src/proof/fra/fraImp.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraInd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c > src/proof/fra/fraInd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fra/fraInd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraInd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c > src/proof/fra/fraInd.d -> ABC: `` Generating dependency: /src/proof/fra/fraIndVer.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraIndVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c > src/proof/fra/fraIndVer.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraLcr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraLcr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c > src/proof/fra/fraLcr.d -> ABC: `` Generating dependency: /src/proof/fra/fraMan.c +-> ABC: `` Generating dependency: /src/proof/fra/fraPart.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c > src/proof/fra/fraMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/fra/fraPart.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraPart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c > src/proof/fra/fraPart.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraSat.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c > src/proof/fra/fraSat.d -> ABC: `` Generating dependency: /src/proof/fra/fraSec.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraSec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c > src/proof/fra/fraSec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c > src/proof/fra/fraSim.d --> ABC: `` Generating dependency: /src/proof/ssw/sswAig.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c > src/proof/ssw/sswAig.d --> ABC: `` Generating dependency: /src/proof/ssw/sswBmc.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswBmc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c > src/proof/ssw/sswBmc.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraSec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c > src/proof/fra/fraSec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswAig.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c > src/proof/ssw/sswAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswBmc.c -> ABC: `` Generating dependency: /src/proof/ssw/sswClass.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswBmc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c > src/proof/ssw/sswBmc.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c > src/proof/ssw/sswClass.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswCnf.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c > src/proof/ssw/sswCnf.d -> ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswConstr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c > src/proof/ssw/sswConstr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswCore.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c > src/proof/ssw/sswCnf.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswConstr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c > src/proof/ssw/sswConstr.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c > src/proof/ssw/sswCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswDyn.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswDyn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c > src/proof/ssw/sswDyn.d -> ABC: `` Generating dependency: /src/proof/ssw/sswFilter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswFilter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c > src/proof/ssw/sswFilter.d +-> ABC: `` Generating dependency: /src/proof/ssw/sswIslands.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/ssw/sswIslands.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswIslands.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c > src/proof/ssw/sswIslands.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswLcorr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswLcorr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c > src/proof/ssw/sswLcorr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c > src/proof/ssw/sswMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswPart.c --> ABC: `` Generating dependency: /src/proof/ssw/sswPairs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswPart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c > src/proof/ssw/sswPart.d +-> ABC: `` Generating dependency: /src/proof/ssw/sswPairs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswPairs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c > src/proof/ssw/sswPairs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswRarity.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswRarity.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c > src/proof/ssw/sswRarity.d -> ABC: `` Generating dependency: /src/proof/ssw/sswSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c > src/proof/ssw/sswSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSemi.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSemi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c > src/proof/ssw/sswSemi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSim.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSemi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c > src/proof/ssw/sswSemi.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c > src/proof/ssw/sswSim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSimSat.c +-> ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSimSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c > src/proof/ssw/sswSimSat.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c > src/proof/ssw/sswSweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c > src/proof/ssw/sswSweep.d -> ABC: `` Generating dependency: /src/proof/ssw/sswUnique.c --> ABC: `` Generating dependency: /src/aig/aig/aigCheck.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswUnique.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c > src/proof/ssw/sswUnique.d +-> ABC: `` Generating dependency: /src/aig/aig/aigCheck.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c > src/aig/aig/aigCheck.d --> ABC: `` Generating dependency: /src/aig/aig/aigCanon.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c > src/aig/aig/aigCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigCanon.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigCuts.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c > src/aig/aig/aigCanon.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigCuts.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c > src/aig/aig/aigCuts.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigDfs.c --> ABC: `` Generating dependency: /src/aig/aig/aigDup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c > src/aig/aig/aigDfs.d +-> ABC: `` Generating dependency: /src/aig/aig/aigDup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c > src/aig/aig/aigDup.d -> ABC: `` Generating dependency: /src/aig/aig/aigFanout.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigFanout.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c > src/aig/aig/aigFanout.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigFrames.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigFanout.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c > src/aig/aig/aigFanout.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigFrames.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c > src/aig/aig/aigFrames.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigInter.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigInter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c > src/aig/aig/aigInter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigJust.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigInter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c > src/aig/aig/aigInter.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigJust.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c > src/aig/aig/aigJust.d -> ABC: `` Generating dependency: /src/aig/aig/aigMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c > src/aig/aig/aigMan.d +-> ABC: `` Generating dependency: /src/aig/aig/aigMem.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c > src/aig/aig/aigMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/aig/aigMem.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c > src/aig/aig/aigMem.d -> ABC: `` Generating dependency: /src/aig/aig/aigMffc.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigMffc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c > src/aig/aig/aigMffc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigMffc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c > src/aig/aig/aigMffc.d -> ABC: `` Generating dependency: /src/aig/aig/aigObj.c --> ABC: `` Generating dependency: /src/aig/aig/aigOper.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigObj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c > src/aig/aig/aigObj.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigOper.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigOper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c > src/aig/aig/aigOper.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigOrder.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigOrder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c > src/aig/aig/aigOrder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPack.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigPack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c > src/aig/aig/aigPack.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPart.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigPack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c > src/aig/aig/aigPack.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigPart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c > src/aig/aig/aigPart.d -> ABC: `` Generating dependency: /src/aig/aig/aigPartReg.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigPartReg.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c > src/aig/aig/aigPartReg.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPartSat.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigPartSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c > src/aig/aig/aigPartSat.d -> ABC: `` Generating dependency: /src/aig/aig/aigRepr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigRepr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c > src/aig/aig/aigRepr.d @@ -6455,410 +6487,412 @@ -> ABC: `` Generating dependency: /src/aig/aig/aigRet.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigRet.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c > src/aig/aig/aigRet.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigRetF.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigRetF.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c > src/aig/aig/aigRetF.d -> ABC: `` Generating dependency: /src/aig/aig/aigScl.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigScl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c > src/aig/aig/aigScl.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigShow.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigScl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c > src/aig/aig/aigScl.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c > src/aig/aig/aigShow.d -> ABC: `` Generating dependency: /src/aig/aig/aigSplit.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigSplit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c > src/aig/aig/aigSplit.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c > src/aig/aig/aigTable.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTiming.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigTiming.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c > src/aig/aig/aigTiming.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTruth.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c > src/aig/aig/aigTruth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTsim.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c > src/aig/aig/aigTruth.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigTsim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c > src/aig/aig/aigTsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigTsim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c > src/aig/aig/aigTsim.d -> ABC: `` Generating dependency: /src/aig/aig/aigUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c > src/aig/aig/aigUtil.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigWin.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c > src/aig/aig/aigUtil.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c > src/aig/aig/aigWin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigCone.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigCone.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c > src/aig/saig/saigCone.d --> ABC: `` Generating dependency: /src/aig/saig/saigConstr.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigConstr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c > src/aig/saig/saigConstr.d --> ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigDual.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigConstr2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c > src/aig/saig/saigConstr2.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigDual.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c > src/aig/saig/saigDual.d +-> ABC: `` Generating dependency: /src/aig/saig/saigConstr.c +-> ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigConstr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c > src/aig/saig/saigConstr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigConstr2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c > src/aig/saig/saigConstr2.d -> ABC: `` Generating dependency: /src/aig/saig/saigDup.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigInd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigInd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c > src/aig/saig/saigInd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigIoa.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigIoa.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c > src/aig/saig/saigIoa.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigIso.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigIso.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c > src/aig/saig/saigIso.d -> ABC: `` Generating dependency: /src/aig/saig/saigIsoFast.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigIsoFast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c > src/aig/saig/saigIsoFast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/saig/saigIsoSlow.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigIsoSlow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c > src/aig/saig/saigIsoSlow.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigIsoSlow.c +-> ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigIsoSlow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c > src/aig/saig/saigIsoSlow.d -> ABC: `` Generating dependency: /src/aig/saig/saigMiter.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigOutDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c > src/aig/saig/saigOutDec.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigMiter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c > src/aig/saig/saigMiter.d --> ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c -> ABC: `` Generating dependency: /src/aig/saig/saigPhase.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigOutDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c > src/aig/saig/saigOutDec.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigPhase.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c > src/aig/saig/saigPhase.d -> ABC: `` Generating dependency: /src/aig/saig/saigRetFwd.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigRetFwd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c > src/aig/saig/saigRetFwd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigRetFwd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c > src/aig/saig/saigRetFwd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigRetMin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigRetMin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c > src/aig/saig/saigRetMin.d --> ABC: `` Generating dependency: /src/aig/saig/saigRetStep.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigRetStep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c > src/aig/saig/saigRetStep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigRetStep.c -> ABC: `` Generating dependency: /src/aig/saig/saigScl.c --> ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigRetStep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c > src/aig/saig/saigRetStep.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigScl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c > src/aig/saig/saigScl.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSimFast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c > src/aig/saig/saigSimFast.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c -> ABC: `` Generating dependency: /src/aig/saig/saigSimMv.c --> ABC: `` Generating dependency: /src/aig/saig/saigSimSeq.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSimFast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c > src/aig/saig/saigSimFast.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSimMv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c > src/aig/saig/saigSimMv.d +-> ABC: `` Generating dependency: /src/aig/saig/saigSimSeq.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSimSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c > src/aig/saig/saigSimSeq.d -> ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigStrSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c > src/aig/saig/saigStrSim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSwitch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSwitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c > src/aig/saig/saigSwitch.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSynch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSynch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c > src/aig/saig/saigSynch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigTempor.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigTempor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c > src/aig/saig/saigTempor.d -> ABC: `` Generating dependency: /src/aig/saig/saigTrans.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigTrans.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c > src/aig/saig/saigTrans.d -> ABC: `` Generating dependency: /src/aig/saig/saigWnd.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigWnd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c > src/aig/saig/saigWnd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAig.c --> ABC: `` Generating dependency: /src/aig/gia/giaAgi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c > src/aig/gia/giaAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaAgi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaAgi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c > src/aig/gia/giaAgi.d -> ABC: `` Generating dependency: /src/aig/gia/giaAiger.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaAiger.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c > src/aig/gia/giaAiger.d -> ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaAigerExt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c > src/aig/gia/giaAigerExt.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBalAig.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaAigerExt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c > src/aig/gia/giaAigerExt.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaBalAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c > src/aig/gia/giaBalAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBalLut.c +-> ABC: `` Generating dependency: /src/aig/gia/giaBalMap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaBalLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c > src/aig/gia/giaBalLut.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaBalMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c > src/aig/gia/giaBalMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaBidec.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaBalMap.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaBalMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c > src/aig/gia/giaBalMap.d --> ABC: `` Generating dependency: /src/aig/gia/giaBidec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaBidec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c > src/aig/gia/giaBidec.d -> ABC: `` Generating dependency: /src/aig/gia/giaCCof.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCCof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c > src/aig/gia/giaCCof.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCex.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c > src/aig/gia/giaCex.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaClp.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaClp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c > src/aig/gia/giaClp.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCof.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c > src/aig/gia/giaCof.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCone.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCone.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c > src/aig/gia/giaCone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSatOld.c --> ABC: `` Generating dependency: /src/aig/gia/giaCSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSatOld.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c > src/aig/gia/giaCSatOld.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaCSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c > src/aig/gia/giaCSat.d -> ABC: `` Generating dependency: /src/aig/gia/giaCSat2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c > src/aig/gia/giaCSat2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaCSat3.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c > src/aig/gia/giaCSat3.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSatP.c +-> ABC: `` Generating dependency: /src/aig/gia/giaCTas.c +-> ABC: `` Generating dependency: /src/aig/gia/giaCSat3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSatP.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c > src/aig/gia/giaCSatP.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c > src/aig/gia/giaCSat3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaCTas.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCTas.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c > src/aig/gia/giaCTas.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCut.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c > src/aig/gia/giaCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDecs.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c > src/aig/gia/giaCut.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaDecs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c > src/aig/gia/giaDecs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDeep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaDeep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c > src/aig/gia/giaDeep.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDfs.c --> ABC: `` Generating dependency: /src/aig/gia/giaDup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c > src/aig/gia/giaDfs.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c > src/aig/gia/giaDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEdge.c +-> ABC: `` Generating dependency: /src/aig/gia/giaDup.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c > src/aig/gia/giaDup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEdge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c > src/aig/gia/giaEdge.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEmbed.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEmbed.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c > src/aig/gia/giaEmbed.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEnable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEnable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c > src/aig/gia/giaEnable.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEquiv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEquiv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c > src/aig/gia/giaEquiv.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEra.c --> ABC: `` Generating dependency: /src/aig/gia/giaEra2.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEra.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c > src/aig/gia/giaEra.d +-> ABC: `` Generating dependency: /src/aig/gia/giaEra2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEra2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c > src/aig/gia/giaEra2.d -> ABC: `` Generating dependency: /src/aig/gia/giaEsop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEsop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c > src/aig/gia/giaEsop.d --> ABC: `` Generating dependency: /src/aig/gia/giaExist.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaExist.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c > src/aig/gia/giaExist.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaExist.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaExist.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c > src/aig/gia/giaExist.d -> ABC: `` Generating dependency: /src/aig/gia/giaFalse.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaFalse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c > src/aig/gia/giaFalse.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFanout.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaFanout.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c > src/aig/gia/giaFanout.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaForce.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaForce.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c > src/aig/gia/giaForce.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFrames.c -> ABC: `` Generating dependency: /src/aig/gia/giaFront.c +-> ABC: `` Generating dependency: /src/aig/gia/giaFx.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaFrames.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c > src/aig/gia/giaFrames.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaFront.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c > src/aig/gia/giaFront.d --> ABC: `` Generating dependency: /src/aig/gia/giaFx.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaFx.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c > src/aig/gia/giaFx.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaGen.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c > src/aig/gia/giaGen.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaGig.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaGig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c > src/aig/gia/giaGig.d -> ABC: `` Generating dependency: /src/aig/gia/giaGlitch.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaGig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c > src/aig/gia/giaGig.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaGlitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c > src/aig/gia/giaGlitch.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaHash.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaHash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c > src/aig/gia/giaHash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaIf.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaIf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c > src/aig/gia/giaIf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIff.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIff.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c > src/aig/gia/giaIff.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIiff.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIiff.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c > src/aig/gia/giaIiff.d -> ABC: `` Generating dependency: /src/aig/gia/giaIso.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIso.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c > src/aig/gia/giaIso.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso2.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIso.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c > src/aig/gia/giaIso.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIso2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c > src/aig/gia/giaIso2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIso3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c > src/aig/gia/giaIso3.d --> ABC: `` Generating dependency: /src/aig/gia/giaJf.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaJf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c > src/aig/gia/giaJf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaJf.c -> ABC: `` Generating dependency: /src/aig/gia/giaKf.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaJf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c > src/aig/gia/giaJf.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaKf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c > src/aig/gia/giaKf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaLf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaLf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c > src/aig/gia/giaLf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMf.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c > src/aig/gia/giaMf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c > src/aig/gia/giaMan.d -> ABC: `` Generating dependency: /src/aig/gia/giaMem.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c > src/aig/gia/giaMem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c > src/aig/gia/giaMem.d -> ABC: `` Generating dependency: /src/aig/gia/giaMfs.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c > src/aig/gia/giaMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c > src/aig/gia/giaMfs.d -> ABC: `` Generating dependency: /src/aig/gia/giaMini.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMini.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c > src/aig/gia/giaMini.d -> ABC: `` Generating dependency: /src/aig/gia/giaMinLut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMinLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c > src/aig/gia/giaMinLut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaMinLut2.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMinLut2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c > src/aig/gia/giaMinLut2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMulFind.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMulFind.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMulFind.c > src/aig/gia/giaMulFind.d --> ABC: `` Generating dependency: /src/aig/gia/giaMinLut2.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMinLut2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c > src/aig/gia/giaMinLut2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMulFind.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMulFind.c > src/aig/gia/giaMulFind.d -> ABC: `` Generating dependency: /src/aig/gia/giaNf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMuxes.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c > src/aig/gia/giaMuxes.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaNf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c > src/aig/gia/giaNf.d --> ABC: `` Generating dependency: /src/aig/gia/giaOf.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaOf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c > src/aig/gia/giaOf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaNf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c > src/aig/gia/giaNf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPack.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaPack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c > src/aig/gia/giaPack.d +-> ABC: `` Generating dependency: /src/aig/gia/giaOf.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaOf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c > src/aig/gia/giaOf.d -> ABC: `` Generating dependency: /src/aig/gia/giaPat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaPat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c > src/aig/gia/giaPat.d --> ABC: `` Generating dependency: /src/aig/gia/giaPat2.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaPat2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c > src/aig/gia/giaPat2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaPat2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaPat2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c > src/aig/gia/giaPat2.d -> ABC: `` Generating dependency: /src/aig/gia/giaPf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaPf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c > src/aig/gia/giaPf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaQbf.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaQbf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c > src/aig/gia/giaQbf.d -> ABC: `` Generating dependency: /src/aig/gia/giaReshape1.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaReshape1.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c > src/aig/gia/giaReshape1.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaQbf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c > src/aig/gia/giaQbf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape2.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaReshape2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c > src/aig/gia/giaReshape2.d --> ABC: `` Generating dependency: /src/aig/gia/giaResub.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c > src/aig/gia/giaResub.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaResub.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c > src/aig/gia/giaResub.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub2.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c > src/aig/gia/giaResub2.d -> ABC: `` Generating dependency: /src/aig/gia/giaResub3.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c > src/aig/gia/giaResub2.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c > src/aig/gia/giaResub3.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaResub6.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub6.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c > src/aig/gia/giaResub6.d -> ABC: `` Generating dependency: /src/aig/gia/giaRetime.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaRetime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c > src/aig/gia/giaRetime.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaResub6.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub6.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c > src/aig/gia/giaResub6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaRetime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c > src/aig/gia/giaRetime.d -> ABC: `` Generating dependency: /src/aig/gia/giaRex.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaRex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c > src/aig/gia/giaRex.d -> ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatEdge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c > src/aig/gia/giaSatEdge.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatLE.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatLE.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c > src/aig/gia/giaSatLE.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatLut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c > src/aig/gia/giaSatLut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatMap.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c > src/aig/gia/giaSatMap.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatoko.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c > src/aig/gia/giaSatoko.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatSyn.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatSyn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c > src/aig/gia/giaSatSyn.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSat3.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSat3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c > src/aig/gia/giaSat3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSat3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c > src/aig/gia/giaSat3.d -> ABC: `` Generating dependency: /src/aig/gia/giaScl.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaScl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c > src/aig/gia/giaScl.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaScript.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaScl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c > src/aig/gia/giaScl.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaScript.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c > src/aig/gia/giaScript.d --> ABC: `` Generating dependency: /src/aig/gia/giaShow.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c > src/aig/gia/giaShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaShow.c -> ABC: `` Generating dependency: /src/aig/gia/giaShrink.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c > src/aig/gia/giaShow.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c > src/aig/gia/giaShrink.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c --> ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink6.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c > src/aig/gia/giaShrink6.d +-> ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink7.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c > src/aig/gia/giaShrink7.d --> ABC: `` Generating dependency: /src/aig/gia/giaSif.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaSif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c > src/aig/gia/giaSif.d -> ABC: `` Generating dependency: /src/aig/gia/giaSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c > src/aig/gia/giaSim.d --> ABC: `` Generating dependency: /src/aig/gia/giaSim2.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSim2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c > src/aig/gia/giaSim2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSimBase.c +-> ABC: `` Generating dependency: /src/aig/gia/giaSim2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSimBase.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c > src/aig/gia/giaSimBase.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSim2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c > src/aig/gia/giaSim2.d -> ABC: `` Generating dependency: /src/aig/gia/giaSort.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c > src/aig/gia/giaSort.d --> ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c > src/aig/gia/giaSpeedup.d +-> ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c -> ABC: `` Generating dependency: /src/aig/gia/giaSplit.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c > src/aig/gia/giaSpeedup.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSplit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c > src/aig/gia/giaSplit.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStg.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaStg.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c > src/aig/gia/giaStg.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStoch.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaStoch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c > src/aig/gia/giaStoch.d -> ABC: `` Generating dependency: /src/aig/gia/giaStr.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaStr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c > src/aig/gia/giaStr.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaStoch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c > src/aig/gia/giaStoch.d -> ABC: `` Generating dependency: /src/aig/gia/giaSupMin.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaStr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c > src/aig/gia/giaStr.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSupMin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c > src/aig/gia/giaSupMin.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupp.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSupp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c > src/aig/gia/giaSupp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6866,112 +6900,114 @@ -> ABC: `` Generating dependency: /src/aig/gia/giaSupps.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSupps.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c > src/aig/gia/giaSupps.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c > src/aig/gia/giaSweep.d -> ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSweeper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d +-> ABC: `` Generating dependency: /src/aig/gia/giaTim.c -> ABC: `` Generating dependency: /src/aig/gia/giaSwitch.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSwitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c > src/aig/gia/giaSwitch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSweeper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaTim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c > src/aig/gia/giaTim.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSwitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c > src/aig/gia/giaSwitch.d -> ABC: `` Generating dependency: /src/aig/gia/giaTis.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTis.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c > src/aig/gia/giaTis.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTranStoch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTranStoch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c > src/aig/gia/giaTranStoch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTruth.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c > src/aig/gia/giaTruth.d -> ABC: `` Generating dependency: /src/aig/gia/giaTsim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTsim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c > src/aig/gia/giaTsim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaUnate.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaUnate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c > src/aig/gia/giaUnate.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaUtil.c --> ABC: `` Generating dependency: /src/aig/gia/giaBound.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c > src/aig/gia/giaUtil.d +-> ABC: `` Generating dependency: /src/aig/gia/giaBound.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaBound.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBound.c > src/aig/gia/giaBound.d -> ABC: `` Generating dependency: /src/aig/ioa/ioaReadAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ioa/ioaReadAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c > src/aig/ioa/ioaReadAig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ioa/ioaWriteAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c > src/aig/ioa/ioaWriteAig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ioa/ioaUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c > src/aig/ioa/ioaUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyBalance.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c > src/aig/ivy/ivyBalance.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCanon.c --> ABC: `` Generating dependency: /src/aig/ivy/ivyCheck.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c > src/aig/ivy/ivyCanon.d --> ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c +-> ABC: `` Generating dependency: /src/aig/ivy/ivyCheck.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c > src/aig/ivy/ivyCheck.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c > src/aig/ivy/ivyCut.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCutTrav.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c > src/aig/ivy/ivyCut.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCutTrav.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c > src/aig/ivy/ivyCutTrav.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyDfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c > src/aig/ivy/ivyDfs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c > src/aig/ivy/ivyDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFanout.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c > src/aig/ivy/ivyFanout.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c -> ABC: `` Generating dependency: /src/aig/ivy/ivyFastMap.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFanout.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c > src/aig/ivy/ivyFanout.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFastMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c > src/aig/ivy/ivyFastMap.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyFraig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFraig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c > src/aig/ivy/ivyFraig.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c --> ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyHaig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c > src/aig/ivy/ivyHaig.d +-> ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c > src/aig/ivy/ivyMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c > src/aig/ivy/ivyMem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyMulti.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMulti.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c > src/aig/ivy/ivyMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/ivy/ivyOper.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyObj.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyOper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c > src/aig/ivy/ivyOper.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyObj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c > src/aig/ivy/ivyObj.d --> ABC: `` Generating dependency: /src/aig/ivy/ivyOper.c -> ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyOper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c > src/aig/ivy/ivyOper.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyResyn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c > src/aig/ivy/ivyResyn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyResyn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c > src/aig/ivy/ivyResyn.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyRwr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivySeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c > src/aig/ivy/ivySeq.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyShow.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c > src/aig/ivy/ivyShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyTable.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c > src/aig/ivy/ivyShow.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c > src/aig/ivy/ivyTable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c > src/aig/ivy/ivyUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c > src/aig/ivy/ivyUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopBalance.c @@ -6981,106 +7017,104 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c > src/aig/hop/hopCheck.d -> ABC: `` Generating dependency: /src/aig/hop/hopDfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c > src/aig/hop/hopDfs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopMan.c -> ABC: `` Generating dependency: /src/aig/hop/hopMem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c > src/aig/hop/hopMan.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c > src/aig/hop/hopMem.d +-> ABC: `` Generating dependency: /src/aig/hop/hopObj.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/hop/hopObj.c --> ABC: `` Generating dependency: /src/aig/hop/hopOper.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopObj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c > src/aig/hop/hopObj.d --> ABC: `` Generating dependency: /src/aig/hop/hopTable.c +-> ABC: `` Generating dependency: /src/aig/hop/hopOper.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopOper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c > src/aig/hop/hopOper.d +-> ABC: `` Generating dependency: /src/aig/hop/hopTable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c > src/aig/hop/hopTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c > src/aig/hop/hopTruth.d -> ABC: `` Generating dependency: /src/aig/hop/hopUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c > src/aig/hop/hopUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAPI.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAPI.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c > src/bdd/cudd/cuddAPI.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddAbs.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAPI.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c > src/bdd/cudd/cuddAPI.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddAbs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c > src/bdd/cudd/cuddAddAbs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddApply.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddApply.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c > src/bdd/cudd/cuddAddApply.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddApply.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c > src/bdd/cudd/cuddAddApply.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddInv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c > src/bdd/cudd/cuddAddInv.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddFind.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c > src/bdd/cudd/cuddAddFind.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddInv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c > src/bdd/cudd/cuddAddInv.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddIte.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c > src/bdd/cudd/cuddAddIte.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddWalsh.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c > src/bdd/cudd/cuddAddWalsh.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAndAbs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c > src/bdd/cudd/cuddAndAbs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAndAbs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c > src/bdd/cudd/cuddAndAbs.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAnneal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c > src/bdd/cudd/cuddAnneal.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddApa.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c > src/bdd/cudd/cuddApa.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApprox.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddApprox.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c > src/bdd/cudd/cuddApprox.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddAbs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddAbs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c > src/bdd/cudd/cuddBddAbs.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c --> ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddIte.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c > src/bdd/cudd/cuddBddIte.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBridge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c > src/bdd/cudd/cuddBridge.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCache.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCache.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddClip.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c > src/bdd/cudd/cuddClip.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c > src/bdd/cudd/cuddCof.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCompose.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c > src/bdd/cudd/cuddCompose.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddDecomp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c > src/bdd/cudd/cuddDecomp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddEssent.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddExact.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c > src/bdd/cudd/cuddExact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddExport.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGenCof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c > src/bdd/cudd/cuddGenCof.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d @@ -7089,266 +7123,268 @@ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddInit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c > src/bdd/cudd/cuddInit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddInteract.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLCache.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c > src/bdd/cudd/cuddLCache.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLevelQ.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c > src/bdd/cudd/cuddLevelQ.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLinear.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c > src/bdd/cudd/cuddLinear.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddMatMult.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c > src/bdd/cudd/cuddMatMult.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddPriority.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddRef.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddReorder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddReorder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c > src/bdd/cudd/cuddSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSign.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSolve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c > src/bdd/cudd/cuddSolve.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSplit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSubsetSP.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c > src/bdd/cudd/cuddSubsetSP.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c > src/bdd/cudd/cuddTable.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddWindow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c > src/bdd/cudd/cuddWindow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddCount.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c > src/bdd/cudd/cuddZddCount.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddFuncs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c > src/bdd/cudd/cuddZddFuncs.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddSetop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c > src/bdd/cudd/cuddZddSetop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddSymm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c > src/bdd/cudd/cuddZddSymm.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddAuto.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c > src/bdd/extrab/extraBddAuto.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddCas.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c > src/bdd/extrab/extraBddCas.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddAuto.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c > src/bdd/extrab/extraBddAuto.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddImage.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddCas.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c > src/bdd/extrab/extraBddCas.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddImage.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddMaxMin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c > src/bdd/extrab/extraBddMaxMin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddMisc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c > src/bdd/extrab/extraBddMisc.d --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddSet.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddSymm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c > src/bdd/extrab/extraBddSymm.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddSet.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddThresh.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c > src/bdd/extrab/extraBddThresh.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddUnate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c > src/bdd/extrab/extraBddUnate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d -> ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdLocal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d -> ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdProc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c > src/bdd/dsd/dsdProc.d -> ABC: `` Generating dependency: /src/bdd/dsd/dsdTree.c --> ABC: `` Generating dependency: /src/bdd/epd/epd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdTree.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c > src/bdd/dsd/dsdTree.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/epd/epd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c > src/bdd/epd/epd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/epd/epd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/epd/epd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c > src/bdd/epd/epd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/mtr/mtrBasic.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/mtr/mtrBasic.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c > src/bdd/mtr/mtrBasic.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/mtr/mtrGroup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/mtr/mtrGroup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c > src/bdd/mtr/mtrGroup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoApi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c > src/bdd/reo/reoApi.d -> ABC: `` Generating dependency: /src/bdd/reo/reoCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c > src/bdd/reo/reoCore.d --> ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoProfile.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c > src/bdd/reo/reoProfile.d -> ABC: `` Generating dependency: /src/bdd/reo/reoShuffle.c --> ABC: `` Generating dependency: /src/bdd/reo/reoSift.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoShuffle.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c > src/bdd/reo/reoShuffle.d +-> ABC: `` Generating dependency: /src/bdd/reo/reoSift.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoSift.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c > src/bdd/reo/reoSift.d -> ABC: `` Generating dependency: /src/bdd/reo/reoSwap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoSwap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c > src/bdd/reo/reoSwap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoTransfer.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoTransfer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c > src/bdd/reo/reoTransfer.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoTransfer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c > src/bdd/reo/reoTransfer.d -> ABC: `` Generating dependency: /src/bdd/reo/reoUnits.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoUnits.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c > src/bdd/reo/reoUnits.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cas/casCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cas/casCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c > src/bdd/cas/casCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cas/casCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c > src/bdd/cas/casCore.d -> ABC: `` Generating dependency: /src/bdd/cas/casDec.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cas/casDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c > src/bdd/cas/casDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cas/casDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c > src/bdd/cas/casDec.d -> ABC: `` Generating dependency: /src/bdd/bbr/bbrCex.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrCex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c > src/bdd/bbr/bbrCex.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/bbr/bbrImage.c --> ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrCex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c > src/bdd/bbr/bbrCex.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrImage.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c > src/bdd/bbr/bbrImage.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrNtbdd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c > src/bdd/bbr/bbrNtbdd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrNtbdd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c > src/bdd/bbr/bbrNtbdd.d -> ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c -> ABC: `` Generating dependency: /src/bdd/llb/llb1Cluster.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrReach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c > src/bdd/bbr/bbrReach.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Cluster.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c > src/bdd/llb/llb1Cluster.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Constr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Constr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Core.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c > src/bdd/llb/llb1Core.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Group.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c > src/bdd/llb/llb1Group.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Hint.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Group.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c > src/bdd/llb/llb1Group.d +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Hint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c > src/bdd/llb/llb1Hint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Man.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c > src/bdd/llb/llb1Man.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Man.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c > src/bdd/llb/llb1Man.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Matrix.c --> ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c --> ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Matrix.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c > src/bdd/llb/llb1Matrix.d +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Pivot.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c > src/bdd/llb/llb1Pivot.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Reach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c > src/bdd/llb/llb1Reach.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Sched.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Sched.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c > src/bdd/llb/llb1Sched.d -> ABC: `` Generating dependency: /src/bdd/llb/llb2Bad.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Bad.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c > src/bdd/llb/llb2Bad.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Core.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Core.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c > src/bdd/llb/llb2Core.d -> ABC: `` Generating dependency: /src/bdd/llb/llb2Driver.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Driver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c > src/bdd/llb/llb2Driver.d --> ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Dump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c > src/bdd/llb/llb2Dump.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Dump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c > src/bdd/llb/llb2Dump.d -> ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Flow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c > src/bdd/llb/llb2Flow.d -> ABC: `` Generating dependency: /src/bdd/llb/llb2Image.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Image.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c > src/bdd/llb/llb2Image.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb3Image.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb3Image.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c > src/bdd/llb/llb3Image.d -> ABC: `` Generating dependency: /src/bdd/llb/llb3Nonlin.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb3Image.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c > src/bdd/llb/llb3Image.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb3Nonlin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c > src/bdd/llb/llb3Nonlin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Cex.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Cex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c > src/bdd/llb/llb4Cex.d --> ABC: `` Generating dependency: /src/bdd/llb/llb4Image.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Image.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c > src/bdd/llb/llb4Image.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/llb/llb4Image.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Image.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c > src/bdd/llb/llb4Image.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Nonlin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Nonlin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c > src/bdd/llb/llb4Nonlin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7372,44 +7408,44 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/map/if/acd/ac_wrapper.cpp -o src/map/if/acd/ac_wrapper.o -> ABC: `` Compiling: /src/opt/rar/rewire_miaig.cpp -> ABC: `` Compiling: /src/opt/eslim/relationGeneration.cpp +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/eslim/eSLIM.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/rar/rewire_miaig.cpp -o src/opt/rar/rewire_miaig.o +-> ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/eslim/relationGeneration.cpp -o src/opt/eslim/relationGeneration.o --> ABC: `` Compiling: /src/opt/eslim/eSLIM.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/eslim/eSLIM.cpp -o src/opt/eslim/eSLIM.o --> ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o --> ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o --> ABC: `` Compiling: /src/sat/glucose/Glucose.cpp --> ABC: `` Compiling: /src/sat/glucose/Options.cpp -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o +-> ABC: `` Compiling: /src/sat/glucose/Glucose.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o --> ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/glucose/Options.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o +-> ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/System.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/System.cpp -o src/sat/glucose/System.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/AbcGlucose2.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/System.cpp -o src/sat/glucose/System.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucose2.cpp -o src/sat/glucose2/AbcGlucose2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/glucose2/Glucose2.cpp -> ABC: `` Compiling: /src/sat/glucose2/AbcGlucoseCmd2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucoseCmd2.cpp -o src/sat/glucose2/AbcGlucoseCmd2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/glucose2/Glucose2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/Glucose2.cpp -o src/sat/glucose2/Glucose2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/Options2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/Options2.cpp -o src/sat/glucose2/Options2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/SimpSolver2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/SimpSolver2.cpp -o src/sat/glucose2/SimpSolver2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7425,6 +7461,18 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_assume.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_assume.cpp -o src/sat/cadical/cadical_assume.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/cadical/cadical_averages.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_averages.cpp -o src/sat/cadical/cadical_averages.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/cadical/cadical_backtrack.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_backtrack.cpp -o src/sat/cadical/cadical_backtrack.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/cadical/cadical_backward.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_backward.cpp -o src/sat/cadical/cadical_backward.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/cadical/cadical_bins.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_bins.cpp -o src/sat/cadical/cadical_bins.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /usr/include/c++/14/bits/hashtable_policy.h:34, from /usr/include/c++/14/bits/hashtable.h:35, from /usr/include/c++/14/bits/unordered_map.h:33, @@ -7444,31 +7492,6 @@ src/map/if/acd/ac_decomposition.hpp:547:40: note: 'res_perm' declared here 547 | std::array res_perm; | ^~~~~~~~ --> ABC: `` Compiling: /src/sat/cadical/cadical_averages.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_averages.cpp -o src/sat/cadical/cadical_averages.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/cadical/cadical_backtrack.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_backtrack.cpp -o src/sat/cadical/cadical_backtrack.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/cadical/cadical_backward.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_backward.cpp -o src/sat/cadical/cadical_backward.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In member function 'std::_Head_base<1ul, std::array, false>::_Head_base&>(std::array&)', - inlined from 'std::_Tuple_impl<1ul, std::array, unsigned int>::_Tuple_impl&, unsigned int, void>(std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, - inlined from 'std::_Tuple_impl<0ul, abc::kitty::static_truth_table<11u, false>, std::array, unsigned int>::_Tuple_impl&, std::array&, unsigned int, void>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, - inlined from 'std::tuple, std::array, unsigned int>::tuple&, std::array&, unsigned int, true, true>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:1491:54, - inlined from 'std::make_tuple&, std::array&, unsigned int>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)std::tuple&>::type>::__type, std::__strip_reference_wrapper&>::type>::__type, std::__strip_reference_wrapper::type>::__type>' at /usr/include/c++/14/tuple:2644:62, - inlined from 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>' at src/map/if/acd/ac_decomposition.hpp:518:67: -/usr/include/c++/14/tuple:210:11: warning: 'res_perm' may be used uninitialized [-Wmaybe-uninitialized] - 210 | : _M_head_impl(std::forward<_UHead>(__h)) { } - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -src/map/if/acd/ac_decomposition.hpp: In member function 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>': -src/map/if/acd/ac_decomposition.hpp:514:40: note: 'res_perm' declared here - 514 | std::array res_perm; - | ^~~~~~~~ --> ABC: `` Compiling: /src/sat/cadical/cadical_bins.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_bins.cpp -o src/sat/cadical/cadical_bins.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_block.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_block.cpp -o src/sat/cadical/cadical_block.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7487,15 +7510,28 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_compact.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_compact.cpp -o src/sat/cadical/cadical_compact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In member function 'std::_Head_base<1ul, std::array, false>::_Head_base&>(std::array&)', + inlined from 'std::_Tuple_impl<1ul, std::array, unsigned int>::_Tuple_impl&, unsigned int, void>(std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, + inlined from 'std::_Tuple_impl<0ul, abc::kitty::static_truth_table<11u, false>, std::array, unsigned int>::_Tuple_impl&, std::array&, unsigned int, void>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, + inlined from 'std::tuple, std::array, unsigned int>::tuple&, std::array&, unsigned int, true, true>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:1491:54, + inlined from 'std::make_tuple&, std::array&, unsigned int>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)std::tuple&>::type>::__type, std::__strip_reference_wrapper&>::type>::__type, std::__strip_reference_wrapper::type>::__type>' at /usr/include/c++/14/tuple:2644:62, + inlined from 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>' at src/map/if/acd/ac_decomposition.hpp:518:67: +/usr/include/c++/14/tuple:210:11: warning: 'res_perm' may be used uninitialized [-Wmaybe-uninitialized] + 210 | : _M_head_impl(std::forward<_UHead>(__h)) { } + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +src/map/if/acd/ac_decomposition.hpp: In member function 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>': +src/map/if/acd/ac_decomposition.hpp:514:40: note: 'res_perm' declared here + 514 | std::array res_perm; + | ^~~~~~~~ -> ABC: `` Compiling: /src/sat/cadical/cadical_condition.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_condition.cpp -o src/sat/cadical/cadical_condition.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_config.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_config.cpp -o src/sat/cadical/cadical_config.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_congruence.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_config.cpp -o src/sat/cadical/cadical_config.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_congruence.cpp -o src/sat/cadical/cadical_congruence.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_constrain.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_constrain.cpp -o src/sat/cadical/cadical_constrain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7525,10 +7561,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_elimfast.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_elimfast.cpp -o src/sat/cadical/cadical_elimfast.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_ema.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_ema.cpp -o src/sat/cadical/cadical_ema.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_extend.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_extend.cpp -o src/sat/cadical/cadical_extend.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7537,13 +7573,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_external_propagate.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_external_propagate.cpp -o src/sat/cadical/cadical_external_propagate.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_factor.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_factor.cpp -o src/sat/cadical/cadical_factor.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_file.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_factor.cpp -o src/sat/cadical/cadical_factor.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_file.cpp -o src/sat/cadical/cadical_file.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_flags.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_flags.cpp -o src/sat/cadical/cadical_flags.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7625,7 +7661,6 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_queue.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_queue.cpp -o src/sat/cadical/cadical_queue.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -o yosys -rdynamic kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/io.o kernel/gzip.o kernel/binding.o kernel/tclapi.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/cmds/wrapcell.o passes/cmds/setenv.o passes/cmds/abstract.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/opt/peepopt.o passes/pmgen/test_pmgen.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/libcache.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_dsp.o techlibs/ice40/ice40_wrapcarry.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/microchip/microchip_dsp.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_ioff.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/xilinx/xilinx_dsp.o techlibs/xilinx/xilinx_srl.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Compiling: /src/sat/cadical/cadical_random.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_random.cpp -o src/sat/cadical/cadical_random.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7647,18 +7682,6 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_restart.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_restart.cpp -o src/sat/cadical/cadical_restart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type 'union yyalloc' violates the C++ One Definition Rule [-Wodr] - 492 | union yyalloc - | ^ -frontends/verilog/verilog_parser.tab.cc:1164:7: note: a different type is defined in another translation unit - 1164 | union yyalloc - | ^ -frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field 'yyss_alloc' - 494 | yy_state_t yyss_alloc; - | ^ -frontends/verilog/verilog_parser.tab.cc:1166:14: note: a field of same name but different type is defined in another translation unit - 1166 | yy_state_t yyss_alloc; - | ^ -> ABC: `` Compiling: /src/sat/cadical/cadical_restore.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_restore.cpp -o src/sat/cadical/cadical_restore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7671,6 +7694,16 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_signal.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_signal.cpp -o src/sat/cadical/cadical_signal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/sat/cadical/cadical_restart.cpp: In member function 'bool abc::CaDiCaL::Internal::stabilizing()': +src/sat/cadical/cadical_restart.cpp:38:17: warning: unused variable 'delta_conflicts' [-Wunused-variable] + 38 | const int64_t delta_conflicts = + | ^~~~~~~~~~~~~~~ +src/sat/cadical/cadical_restart.cpp:42:15: warning: unused variable 'current_mode' [-Wunused-variable] + 42 | const char *current_mode = stable ? "stable" : "unstable"; + | ^~~~~~~~~~~~ +src/sat/cadical/cadical_restart.cpp:43:15: warning: unused variable 'next_mode' [-Wunused-variable] + 43 | const char *next_mode = stable ? "unstable" : "stable"; + | ^~~~~~~~~ -> ABC: `` Compiling: /src/sat/cadical/cadical_solution.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_solution.cpp -o src/sat/cadical/cadical_solution.o -> ABC: `` Compiling: /src/sat/cadical/cadical_solver.cpp @@ -7683,16 +7716,6 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_stats.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_stats.cpp -o src/sat/cadical/cadical_stats.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/sat/cadical/cadical_restart.cpp: In member function 'bool abc::CaDiCaL::Internal::stabilizing()': -src/sat/cadical/cadical_restart.cpp:38:17: warning: unused variable 'delta_conflicts' [-Wunused-variable] - 38 | const int64_t delta_conflicts = - | ^~~~~~~~~~~~~~~ -src/sat/cadical/cadical_restart.cpp:42:15: warning: unused variable 'current_mode' [-Wunused-variable] - 42 | const char *current_mode = stable ? "stable" : "unstable"; - | ^~~~~~~~~~~~ -src/sat/cadical/cadical_restart.cpp:43:15: warning: unused variable 'next_mode' [-Wunused-variable] - 43 | const char *next_mode = stable ? "unstable" : "stable"; - | ^~~~~~~~~ -> ABC: `` Compiling: /src/sat/cadical/cadical_subsume.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_subsume.cpp -o src/sat/cadical/cadical_subsume.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7704,10 +7727,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_ternary.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_ternary.cpp -o src/sat/cadical/cadical_ternary.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_tier.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_tier.cpp -o src/sat/cadical/cadical_tier.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_transred.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_transred.cpp -o src/sat/cadical/cadical_transred.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7723,12 +7746,10 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_veripbtracer.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_veripbtracer.cpp -o src/sat/cadical/cadical_veripbtracer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -o yosys -rdynamic kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/io.o kernel/gzip.o kernel/binding.o kernel/tclapi.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/cmds/wrapcell.o passes/cmds/setenv.o passes/cmds/abstract.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/opt/peepopt.o passes/pmgen/test_pmgen.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/libcache.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_dsp.o techlibs/ice40/ice40_wrapcarry.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/microchip/microchip_dsp.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_ioff.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/xilinx/xilinx_dsp.o techlibs/xilinx/xilinx_srl.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Compiling: /src/sat/cadical/cadical_version.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_version.cpp -o src/sat/cadical/cadical_version.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/cadical/cadical_vivify.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_vivify.cpp -o src/sat/cadical/cadical_vivify.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/cadical/cadical_version.cpp:108:30: warning: macro "__DATE__" might prevent reproducible builds [-Wdate-time] 108 | const char *date () { return DATE; } | ^~~~ @@ -7738,6 +7759,9 @@ src/sat/cadical/cadical_version.cpp:108:30: note: in expansion of macro 'DATE' 108 | const char *date () { return DATE; } | ^~~~ +-> ABC: `` Compiling: /src/sat/cadical/cadical_vivify.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_vivify.cpp -o src/sat/cadical/cadical_vivify.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_walk.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_walk.cpp -o src/sat/cadical/cadical_walk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7762,14 +7786,6 @@ -> ABC: `` Compiling: /src/base/abc/abcBlifMv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c -o src/base/abc/abcBlifMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] - 126 | enum yysymbol_kind_t -frontends/verilog/verilog_parser.tab.cc:431: note: an enum with different value name is defined in another translation unit - 431 | enum yysymbol_kind_t -frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit - 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ -frontends/verilog/verilog_parser.tab.cc:437: note: mismatching definition - 437 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ -> ABC: `` Compiling: /src/base/abc/abcCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c -o src/base/abc/abcCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7790,13 +7806,25 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieCec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c -o src/base/abc/abcHieCec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieGia.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c -o src/base/abc/abcHieGia.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieNew.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c -o src/base/abc/abcHieNew.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type 'union yyalloc' violates the C++ One Definition Rule [-Wodr] + 492 | union yyalloc + | ^ +frontends/verilog/verilog_parser.tab.cc:1164:7: note: a different type is defined in another translation unit + 1164 | union yyalloc + | ^ +frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field 'yyss_alloc' + 494 | yy_state_t yyss_alloc; + | ^ +frontends/verilog/verilog_parser.tab.cc:1166:14: note: a field of same name but different type is defined in another translation unit + 1166 | yy_state_t yyss_alloc; + | ^ -> ABC: `` Compiling: /src/base/abc/abcLatch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c -o src/base/abc/abcLatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7812,6 +7840,15 @@ -> ABC: `` Compiling: /src/base/abc/abcNetlist.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c -o src/base/abc/abcNetlist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcNtk.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -o src/base/abc/abcNtk.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcObj.c +-> ABC: `` Compiling: /src/base/abc/abcRefs.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -o src/base/abc/abcObj.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/extra/extra.h:44, from src/base/abc/abcHieNew.c:28: In function 'abc::Au_ObjFaninC(abc::Au_Obj_t_*, int)', @@ -7824,24 +7861,6 @@ src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' 61 | int Fanins[2]; // fanin literals | ^~~~~~ --> ABC: `` Compiling: /src/base/abc/abcNtk.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -o src/base/abc/abcNtk.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Au_ObjFaninId(abc::Au_Obj_t_*, int)', - inlined from 'abc::Au_ObjFanin(abc::Au_Obj_t_*, int)' at src/base/abc/abcHieNew.c:169:92, - inlined from 'abc::Au_ObjFanin2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:172:94, - inlined from 'abc::Au_ObjGetXsimFan2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:1310:30, - inlined from 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)' at src/base/abc/abcHieNew.c:1355:30: -src/base/abc/abcHieNew.c:165:127: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] - 165 | static inline int Au_ObjFaninId( Au_Obj_t * p, int i ) { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_Lit2Var(p->Fanins[i]); } - | ~~~~~~~~~~~^ -src/base/abc/abcHieNew.c: In function 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)': -src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' - 61 | int Fanins[2]; // fanin literals - | ^~~~~~ --> ABC: `` Compiling: /src/base/abc/abcObj.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -o src/base/abc/abcObj.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -7883,6 +7902,9 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/base/abc/abcShow.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1999 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -7940,24 +7962,30 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/base/abc/abcRefs.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abc/abcShow.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In function 'abc::Au_ObjFaninId(abc::Au_Obj_t_*, int)', + inlined from 'abc::Au_ObjFanin(abc::Au_Obj_t_*, int)' at src/base/abc/abcHieNew.c:169:92, + inlined from 'abc::Au_ObjFanin2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:172:94, + inlined from 'abc::Au_ObjGetXsimFan2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:1310:30, + inlined from 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)' at src/base/abc/abcHieNew.c:1355:30: +src/base/abc/abcHieNew.c:165:127: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] + 165 | static inline int Au_ObjFaninId( Au_Obj_t * p, int i ) { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_Lit2Var(p->Fanins[i]); } + | ~~~~~~~~~~~^ +src/base/abc/abcHieNew.c: In function 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)': +src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' + 61 | int Fanins[2]; // fanin literals + | ^~~~~~ -> ABC: `` Compiling: /src/base/abc/abcSop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcUtil.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -o src/base/abci/abc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcAttach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c -o src/base/abci/abcAttach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcAuto.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -o src/base/abci/abcAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7966,19 +7994,27 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBidec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -o src/base/abci/abcBidec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -o src/base/abci/abcBm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBmc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCas.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c -o src/base/abci/abcCas.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] + 126 | enum yysymbol_kind_t +frontends/verilog/verilog_parser.tab.cc:431: note: an enum with different value name is defined in another translation unit + 431 | enum yysymbol_kind_t +frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit + 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ +frontends/verilog/verilog_parser.tab.cc:437: note: mismatching definition + 437 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ -> ABC: `` Compiling: /src/base/abci/abcCascade.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c -o src/base/abci/abcCascade.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCollapse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c -o src/base/abci/abcCollapse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8036,12 +8072,12 @@ -> ABC: `` Compiling: /src/base/abci/abcIf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c -o src/base/abci/abcIf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abci/abcIfif.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c -o src/base/abci/abcIfif.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcIfMux.c +-> ABC: `` Compiling: /src/base/abci/abcIfif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c -o src/base/abci/abcIfMux.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c -o src/base/abci/abcIfif.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcIvy.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c -o src/base/abci/abcIvy.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8062,10 +8098,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c -o src/base/abci/abcMfs.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMini.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c -o src/base/abci/abcMini.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMiter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c -o src/base/abci/abcMiter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8101,16 +8137,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQbf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c -o src/base/abci/abcQbf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQuant.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c -o src/base/abci/abcQuant.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRec3.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -o src/base/abci/abcRec3.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcReconv.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -o src/base/abci/abcRec3.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c -o src/base/abci/abcReconv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcReach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c -o src/base/abci/abcReach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8118,10 +8154,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c -o src/base/abci/abcRefactor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRenode.c --> ABC: `` Compiling: /src/base/abci/abcReorder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c -o src/base/abci/abcRenode.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c -o src/base/abci/abcReorder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abci/abcReorder.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c -o src/base/abci/abcReorder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRestruct.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c -o src/base/abci/abcRestruct.o @@ -8135,10 +8171,6 @@ -> ABC: `` Compiling: /src/base/abci/abcRpo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c -o src/base/abci/abcRpo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/base/abci/abc.c: In function 'abc::Abc_CommandSymFun(abc::Abc_Frame_t_*, int, char**)': -src/base/abci/abc.c:26194:36: warning: '%s' directive output between 0 and 2147483646 bytes may cause result to exceed 'INT_MAX' [-Wformat-overflow=] -26194 | sprintf( pCommand, "read_truth %s", pTruth ); - | ^~ -> ABC: `` Compiling: /src/base/abci/abcRr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c -o src/base/abci/abcRr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8168,10 +8200,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSymm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c -o src/base/abci/abcSymm.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcTim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c -o src/base/abci/abcTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcTiming.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c -o src/base/abci/abcTiming.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8187,28 +8219,28 @@ -> ABC: `` Compiling: /src/base/abci/abcXsim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c -o src/base/abci/abcXsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/cmd/cmd.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c -o src/base/cmd/cmd.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abci/abcSaucy.c: In function 'abc::saucy_alloc(abc::Abc_Ntk_t_*)': src/base/abci/abcSaucy.c:2656:37: warning: '*_146' may be used uninitialized [-Wmaybe-uninitialized] 2656 | && s->clist && s->nextnon[-1] && s->prevnon | ~~~~~~~~~~~~~^ --> ABC: `` Compiling: /src/base/cmd/cmd.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c -o src/base/cmd/cmd.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdAlias.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c -o src/base/cmd/cmdAlias.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c -o src/base/cmd/cmdApi.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdAuto.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c -o src/base/cmd/cmdAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdFlag.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c -o src/base/cmd/cmdFlag.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdHist.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c -o src/base/cmd/cmdHist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdLoad.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c -o src/base/cmd/cmdLoad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8218,27 +8250,31 @@ -> ABC: `` Compiling: /src/base/cmd/cmdStarter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c -o src/base/cmd/cmdStarter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/base/abci/abc.c: In function 'abc::Abc_CommandSymFun(abc::Abc_Frame_t_*, int, char**)': +src/base/abci/abc.c:26194:36: warning: '%s' directive output between 0 and 2147483646 bytes may cause result to exceed 'INT_MAX' [-Wformat-overflow=] +26194 | sprintf( pCommand, "read_truth %s", pTruth ); + | ^~ -> ABC: `` Compiling: /src/base/cmd/cmdUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c -o src/base/cmd/cmdUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/io.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c -o src/base/io/io.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/io/ioReadAiger.c -> ABC: `` Compiling: /src/base/io/ioJson.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c -o src/base/io/ioJson.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/io/ioReadAiger.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c -o src/base/io/ioReadAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBaf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c -o src/base/io/ioReadBaf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBblif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c -o src/base/io/ioReadBblif.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBench.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c -o src/base/io/ioReadBench.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c -o src/base/io/ioReadBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8256,10 +8292,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadEqn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c -o src/base/io/ioReadEqn.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadPla.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c -o src/base/io/ioReadPla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadPlaMo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c -o src/base/io/ioReadPlaMo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8289,10 +8325,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBook.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c -o src/base/io/ioWriteBook.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c -o src/base/io/ioWriteCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteDot.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c -o src/base/io/ioWriteDot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8311,28 +8347,28 @@ -> ABC: `` Compiling: /src/base/io/ioWriteList.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/base/io/ioWriteHMetis.c: In function 'abc::Io_WriteHMetis(abc::Abc_Ntk_t_*, char*, int, int, int)': -src/base/io/ioWriteHMetis.c:42:15: warning: argument 1 null where non-null expected [-Wnonnull] - 42 | fclose( pFHMetis ); - | ~~~~~~^~~~~~~~~~~~ -In file included from /build/reproducible-path/yosys-0.52/abc/src/base/abc/abc.h:29, - from src/base/io/ioAbc.h:29, - from src/base/io/ioWriteHMetis.c:22: -/usr/include/stdio.h:184:12: note: in a call to function 'fclose' declared 'nonnull' - 184 | extern int fclose (FILE *__stream) __nonnull ((1)); - | ^~~~~~ -> ABC: `` Compiling: /src/base/io/ioWritePla.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteVerilog.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteSmv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -o src/base/io/ioWriteSmv.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/main.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c -o src/base/main/main.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/base/io/ioWriteHMetis.c: In function 'abc::Io_WriteHMetis(abc::Abc_Ntk_t_*, char*, int, int, int)': +src/base/io/ioWriteHMetis.c:42:15: warning: argument 1 null where non-null expected [-Wnonnull] + 42 | fclose( pFHMetis ); + | ~~~~~~^~~~~~~~~~~~ +In file included from /build/reproducible-path/yosys-0.52/abc/src/base/abc/abc.h:29, + from src/base/io/ioAbc.h:29, + from src/base/io/ioWriteHMetis.c:22: +/usr/include/stdio.h:184:12: note: in a call to function 'fclose' declared 'nonnull' + 184 | extern int fclose (FILE *__stream) __nonnull ((1)); + | ^~~~~~ -> ABC: `` Compiling: /src/base/main/mainFrame.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c -o src/base/main/mainFrame.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8345,33 +8381,33 @@ -> ABC: `` Compiling: /src/base/main/mainReal.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c -o src/base/main/mainReal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/main/libSupport.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c -o src/base/main/libSupport.o -> ABC: `` Compiling: /src/base/main/mainUtils.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c -o src/base/main/mainUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/main/libSupport.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c -o src/base/main/libSupport.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c -o src/base/exor/exor.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorBits.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c -o src/base/exor/exorBits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorCubes.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c -o src/base/exor/exorCubes.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorLink.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c -o src/base/exor/exorLink.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorList.c --> ABC: `` Compiling: /src/base/exor/exorUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c -o src/base/exor/exorList.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -o src/base/exor/exorUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/exor/exorUtil.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -o src/base/exor/exorUtil.o -> ABC: `` Compiling: /src/base/ver/verCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/ver/verFormula.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c -o src/base/ver/verFormula.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8380,18 +8416,18 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/ver/verStream.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c -o src/base/ver/verStream.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcAbs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c -o src/base/wlc/wlcAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcAbs2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c -o src/base/wlc/wlcAbs2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/wlc/wlcPth.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -o src/base/wlc/wlcPth.o -> ABC: `` Compiling: /src/base/wlc/wlcAbc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c -o src/base/wlc/wlcAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/wlc/wlcPth.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -o src/base/wlc/wlcPth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcBlast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c -o src/base/wlc/wlcBlast.o @@ -8422,50 +8458,28 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c -o src/base/wlc/wlcSim.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcShow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c -o src/base/wlc/wlcShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcStdin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -o src/base/wlc/wlcStdin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/base/wlc/wlc.h:34, - from src/base/wlc/wlcReadVer.c:21: -In function 'abc::Abc_TtSetHex(unsigned long*, int, int)', - inlined from 'abc::Abc_TtReadHexNumber(unsigned long*, char*)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1576:21, - inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)' at src/base/wlc/wlcReadVer.c:1001:40: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:189:75: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 189 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } - | ~~~~~~^ -src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)': -src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 - 985 | int v, b, Value, nBits, nInts; - | ^~~~~ -In function 'abc::Abc_TtSetHex(unsigned long*, int, int)', - inlined from 'abc::Abc_TtReadHexNumber(unsigned long*, char*)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1576:21, - inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)' at src/base/wlc/wlcReadVer.c:1001:40: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:189:77: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 189 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } - | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)': -src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 - 985 | int v, b, Value, nBits, nInts; - | ^~~~~ -> ABC: `` Compiling: /src/base/wlc/wlcUif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -o src/base/wlc/wlcUif.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c -o src/base/wlc/wlcWin.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcWriteVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c -o src/base/wlc/wlcWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wln.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c -o src/base/wln/wln.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnBlast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c -o src/base/wln/wlnBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c -o src/base/wln/wlnCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8481,6 +8495,28 @@ -> ABC: `` Compiling: /src/base/wln/wlnNtk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c -o src/base/wln/wlnNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/base/wlc/wlc.h:34, + from src/base/wlc/wlcReadVer.c:21: +In function 'abc::Abc_TtSetHex(unsigned long*, int, int)', + inlined from 'abc::Abc_TtReadHexNumber(unsigned long*, char*)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1576:21, + inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)' at src/base/wlc/wlcReadVer.c:1001:40: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:189:75: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 189 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } + | ~~~~~~^ +src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)': +src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 + 985 | int v, b, Value, nBits, nInts; + | ^~~~~ +In function 'abc::Abc_TtSetHex(unsigned long*, int, int)', + inlined from 'abc::Abc_TtReadHexNumber(unsigned long*, char*)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1576:21, + inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)' at src/base/wlc/wlcReadVer.c:1001:40: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:189:77: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 189 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } + | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)': +src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 + 985 | int v, b, Value, nBits, nInts; + | ^~~~~ -> ABC: `` Compiling: /src/base/wln/wlnObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c -o src/base/wln/wlnObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8492,21 +8528,33 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnRtl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c -o src/base/wln/wlnRtl.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnWlc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c -o src/base/wln/wlnWlc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/wln/wlnWriteVer.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -o src/base/wln/wlnWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/wln/wlnWriteVer.c -> ABC: `` Compiling: /src/base/acb/acbAbc.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -o src/base/wln/wlnWriteVer.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -o src/base/acb/acbAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c -o src/base/acb/acbAig.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c -o src/base/acb/acbCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/acb/acbFunc.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c -o src/base/acb/acbFunc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/acb/acbMfs.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -o src/base/acb/acbMfs.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/acb/acbPush.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -o src/base/acb/acbPush.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/acb/acbSets.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c -o src/base/acb/acbSets.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/base/wln/wlnWriteVer.c:21: In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', @@ -8557,18 +8605,6 @@ src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ --> ABC: `` Compiling: /src/base/acb/acbFunc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c -o src/base/acb/acbFunc.o --> ABC: `` Compiling: /src/base/acb/acbMfs.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -o src/base/acb/acbMfs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/acb/acbPush.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -o src/base/acb/acbPush.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/acb/acbSets.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c -o src/base/acb/acbSets.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbTest.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c -o src/base/acb/acbTest.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8610,19 +8646,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacReadVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c -o src/base/bac/bacReadVer.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c -o src/base/bac/bacWriteBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteSmt.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c -o src/base/bac/bacWriteSmt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c -o src/base/bac/bacWriteVer.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaBlast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c -o src/base/cba/cbaBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaCba.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c -o src/base/cba/cbaCba.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8637,10 +8673,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaReadVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c -o src/base/cba/cbaReadVer.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaWriteBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c -o src/base/cba/cbaWriteBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaWriteVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c -o src/base/cba/cbaWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8664,19 +8700,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaWrite.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c -o src/base/pla/plaWrite.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/test/test.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c -o src/base/test/test.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c -o src/map/mapper/mapper.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCanon.c --> ABC: `` Compiling: /src/map/mapper/mapperCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c -o src/map/mapper/mapperCanon.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c -o src/map/mapper/mapperCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/map/mapper/mapperCore.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c -o src/map/mapper/mapperCore.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCreate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c -o src/map/mapper/mapperCreate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8715,22 +8751,22 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c -o src/map/mapper/mapperUtils.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperVec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c -o src/map/mapper/mapperVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mio.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c -o src/map/mio/mio.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c -o src/map/mio/mioApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioFunc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c -o src/map/mio/mioFunc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioParse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c -o src/map/mio/mioParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c -o src/map/mio/mioRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8739,19 +8775,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c -o src/map/mio/mioUtils.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/super/super.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c -o src/map/super/super.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/super/superAnd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c -o src/map/super/superAnd.o --> ABC: `` Compiling: /src/map/super/superGate.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c -o src/map/super/superGate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/map/super/superGate.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c -o src/map/super/superGate.o -> ABC: `` Compiling: /src/map/if/ifCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c -o src/map/if/ifCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifCache.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c -o src/map/if/ifCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8760,10 +8796,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c -o src/map/if/ifCut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifData2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c -o src/map/if/ifData2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec07.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c -o src/map/if/ifDec07.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8775,16 +8811,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec16.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c -o src/map/if/ifDec16.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec66.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec66.c -o src/map/if/ifDec66.o --> ABC: `` Compiling: /src/map/if/ifDec75.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c -o src/map/if/ifDec75.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/map/if/ifDec75.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c -o src/map/if/ifDec75.o -> ABC: `` Compiling: /src/map/if/ifDelay.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c -o src/map/if/ifDelay.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c -o src/map/if/ifDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8799,25 +8835,25 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c -o src/map/if/ifMap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMatch2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c -o src/map/if/ifMatch2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifReduce.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c -o src/map/if/ifReduce.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c -o src/map/if/ifSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifSelect.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c -o src/map/if/ifSelect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifSeq.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c -o src/map/if/ifSeq.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTest.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c -o src/map/if/ifSeq.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c -o src/map/if/ifTest.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c -o src/map/if/ifTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8849,10 +8885,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c -o src/map/amap/amapMatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapMerge.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c -o src/map/amap/amapMerge.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapOutput.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c -o src/map/amap/amapOutput.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c -o src/map/amap/amapMerge.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapParse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c -o src/map/amap/amapParse.o @@ -8871,19 +8907,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covBuild.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c -o src/map/cov/covBuild.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c -o src/map/cov/covCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c -o src/map/cov/covMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinEsop.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c -o src/map/cov/covMinEsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c -o src/map/cov/covMinEsop.o -> ABC: `` Compiling: /src/map/cov/covMinMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c -o src/map/cov/covMinMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinSop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c -o src/map/cov/covMinSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8898,27 +8934,27 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclBufSize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c -o src/map/scl/sclBufSize.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclDnsize.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c -o src/map/scl/sclDnsize.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLiberty.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c -o src/map/scl/sclDnsize.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c -o src/map/scl/sclLiberty.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLibScl.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c -o src/map/scl/sclLibScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLibUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c -o src/map/scl/sclLibUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLoad.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c -o src/map/scl/sclLoad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/map/scl/sclUpsize.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c -o src/map/scl/sclUpsize.o -> ABC: `` Compiling: /src/map/scl/sclSize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c -o src/map/scl/sclSize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/map/scl/sclUpsize.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c -o src/map/scl/sclUpsize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c -o src/map/scl/sclUtil.o @@ -8928,28 +8964,28 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c -o src/map/mpm/mpmCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmDsd.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c -o src/map/mpm/mpmDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c -o src/map/mpm/mpmDsd.o -> ABC: `` Compiling: /src/map/mpm/mpmGates.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c -o src/map/mpm/mpmGates.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c -o src/map/mpm/mpmLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c -o src/map/mpm/mpmMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c -o src/map/mpm/mpmMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c -o src/map/mpm/mpmMig.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmPre.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c -o src/map/mpm/mpmPre.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c -o src/map/mpm/mpmTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8958,16 +8994,20 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilBitMatrix.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c -o src/misc/extra/extraUtilBitMatrix.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c -o src/misc/extra/extraUtilCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +kernel/register.cc: In function '__ct_base .constprop': +kernel/register.cc:688:9: note: variable tracking size limit exceeded with '-fvar-tracking-assignments', retrying without + 688 | CellHelpMessages() { + | ^ -> ABC: `` Compiling: /src/misc/extra/extraUtilCfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c -o src/misc/extra/extraUtilCfs.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilCube.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c -o src/misc/extra/extraUtilCube.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -o src/misc/extra/extraUtilDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8980,9 +9020,6 @@ -> ABC: `` Compiling: /src/misc/extra/extraUtilGen.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/misc/extra/extraUtilFile.c: In function 'abc::Extra_FileNameAppend(char*, char*)': src/misc/extra/extraUtilFile.c:157:25: warning: '%s' directive writing up to 499 bytes into a region of size between 1 and 500 [-Wformat-overflow=] 157 | sprintf( Buffer, "%s%s", pBase, pSuffix ); @@ -8999,22 +9036,43 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMemory.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c -o src/misc/extra/extraUtilMemory.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/misc/extra/extraUtilMemory.c: In function 'abc::Extra_MmStepStart(int)': +src/misc/extra/extraUtilMemory.c:508:32: warning: 'MEM[(struct Extra_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] + 508 | p->pMap[k] = p->pMems[0]; + | ~~~~~~~~~~^ -> ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -o src/misc/extra/extraUtilMisc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMult.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c -o src/misc/extra/extraUtilMult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/misc/extra/extraUtilMemory.c: In function 'abc::Extra_MmStepStart(int)': -src/misc/extra/extraUtilMemory.c:508:32: warning: 'MEM[(struct Extra_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] - 508 | p->pMap[k] = p->pMems[0]; - | ~~~~~~~~~~^ +In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', + inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2568:26: +src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 2556 | printf( "%d", (int)((*pT >> i) & 1) ); + | ^~~ +src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': +src/misc/extra/extraUtilMisc.c:2560:12: note: object 'j' of size 4 + 2560 | int i, j, k, n = 4; + | ^ +In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', + inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2567:26: +src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 2556 | printf( "%d", (int)((*pT >> i) & 1) ); + | ^~~ +src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': +src/misc/extra/extraUtilMisc.c:2560:9: note: object 'i' of size 4 + 2560 | int i, j, k, n = 4; + | ^ -> ABC: `` Compiling: /src/misc/extra/extraUtilPath.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c -o src/misc/extra/extraUtilPath.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9054,24 +9112,6 @@ -> ABC: `` Compiling: /src/misc/mvc/mvcDivide.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c -o src/misc/mvc/mvcDivide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', - inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2568:26: -src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 2556 | printf( "%d", (int)((*pT >> i) & 1) ); - | ^~~ -src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': -src/misc/extra/extraUtilMisc.c:2560:12: note: object 'j' of size 4 - 2560 | int i, j, k, n = 4; - | ^ -In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', - inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2567:26: -src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 2556 | printf( "%d", (int)((*pT >> i) & 1) ); - | ^~~ -src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': -src/misc/extra/extraUtilMisc.c:2560:9: note: object 'i' of size 4 - 2560 | int i, j, k, n = 4; - | ^ -> ABC: `` Compiling: /src/misc/mvc/mvcDivisor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c -o src/misc/mvc/mvcDivisor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9159,13 +9199,13 @@ -> ABC: `` Compiling: /src/misc/mem/mem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c -o src/misc/mem/mem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/bar/bar.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -o src/misc/bar/bar.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/misc/mem/mem.c: In function 'abc::Mem_StepStart(int)': src/misc/mem/mem.c:506:32: warning: 'MEM[(struct Mem_Fixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] 506 | p->pMap[k] = p->pMems[0]; | ~~~~~~~~~~^ +-> ABC: `` Compiling: /src/misc/bar/bar.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -o src/misc/bar/bar.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/bbl/bblif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c -o src/misc/bbl/bblif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9238,11 +9278,11 @@ -> ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/fxch/FxchDiv.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c -o src/opt/fxch/FxchDiv.o -> ABC: `` Compiling: /src/opt/fxch/Fxch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c -o src/opt/fxch/Fxch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/fxch/FxchDiv.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c -o src/opt/fxch/FxchDiv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxch/FxchMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c -o src/opt/fxch/FxchMan.o @@ -9273,10 +9313,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c -o src/opt/mfs/mfsCore.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsDiv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c -o src/opt/mfs/mfsDiv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c -o src/opt/mfs/mfsInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9352,10 +9392,6 @@ -> ABC: `` Compiling: /src/opt/fret/fretInit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c -o src/opt/fret/fretInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -kernel/register.cc: In function '__ct_base .constprop': -kernel/register.cc:688:9: note: variable tracking size limit exceeded with '-fvar-tracking-assignments', retrying without - 688 | CellHelpMessages() { - | ^ -> ABC: `` Compiling: /src/opt/fret/fretTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c -o src/opt/fret/fretTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9478,10 +9514,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -o src/opt/cgt/cgtCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtDecide.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c -o src/opt/cgt/cgtDecide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c -o src/opt/cgt/cgtMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9493,10 +9529,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c -o src/opt/csw/cswCut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c -o src/opt/csw/cswMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c -o src/opt/csw/cswTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9523,19 +9559,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darRefact.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c -o src/opt/dar/darRefact.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darScript.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c -o src/opt/dar/darScript.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c -o src/opt/dau/dauCanon.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c -o src/opt/dau/dauCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c -o src/opt/dau/dauCore.o -> ABC: `` Compiling: /src/opt/dau/dauCount.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c -o src/opt/dau/dauCount.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauDivs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c -o src/opt/dau/dauDivs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9558,31 +9594,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c -o src/opt/dau/dauNpn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauNpn2.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c -o src/opt/dau/dauNpn2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauTree.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c -o src/opt/dau/dauNpn2.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/opt/dau/dauTree.c: In function 'abc::Dss_ManBooleanAnd(abc::Dss_Man_t_*, abc::Dss_Ent_t_*, int)': -src/opt/dau/dauTree.c:1492:22: warning: array subscript i_38 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] - 1492 | pFun->pFans[i] = (unsigned char)Abc_Lit2LitV( pMapDsd2Truth, pPermDsd[i] ); - | ~~~~~~~~~~~~~^ -src/opt/dau/dauTree.c:36:20: note: while referencing 'pFans' - 36 | unsigned char pFans[0]; // fanins - | ^~~~~ -src/opt/dau/dauTree.c: In function 'abc::Dss_ManSharedMap(abc::Dss_Man_t_*, int*, int*, int**, unsigned int)': -src/opt/dau/dauTree.c:1528:48: warning: array subscript _22 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] - 1528 | pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i; - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ -src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' - 48 | unsigned char pShared[0]; // shared literals - | ^~~~~~~ -src/opt/dau/dauTree.c:1529:48: warning: array subscript _27 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] - 1529 | pEnt->pShared[2*pEnt->nShared+1] = (unsigned char)Abc_LitNotCond( pMapGtoL[g], Abc_LitIsCompl(pFans[1][i]) ); - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ -src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' - 48 | unsigned char pShared[0]; // shared literals - | ^~~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dsc/dsc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9593,11 +9609,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -o src/opt/sfm/sfmCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmDec.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -o src/opt/sfm/sfmCore.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c -o src/opt/sfm/sfmDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c -o src/opt/sfm/sfmLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9624,10 +9640,30 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c -o src/opt/sbd/sbdCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCut.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c -o src/opt/sbd/sbdCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/opt/dau/dauTree.c: In function 'abc::Dss_ManBooleanAnd(abc::Dss_Man_t_*, abc::Dss_Ent_t_*, int)': +src/opt/dau/dauTree.c:1492:22: warning: array subscript i_38 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] + 1492 | pFun->pFans[i] = (unsigned char)Abc_Lit2LitV( pMapDsd2Truth, pPermDsd[i] ); + | ~~~~~~~~~~~~~^ +src/opt/dau/dauTree.c:36:20: note: while referencing 'pFans' + 36 | unsigned char pFans[0]; // fanins + | ^~~~~ +src/opt/dau/dauTree.c: In function 'abc::Dss_ManSharedMap(abc::Dss_Man_t_*, int*, int*, int**, unsigned int)': +src/opt/dau/dauTree.c:1528:48: warning: array subscript _22 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] + 1528 | pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i; + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ +src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' + 48 | unsigned char pShared[0]; // shared literals + | ^~~~~~~ +src/opt/dau/dauTree.c:1529:48: warning: array subscript _27 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] + 1529 | pEnt->pShared[2*pEnt->nShared+1] = (unsigned char)Abc_LitNotCond( pMapGtoL[g], Abc_LitIsCompl(pFans[1][i]) ); + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ +src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' + 48 | unsigned char pShared[0]; // shared literals + | ^~~~~~~ -> ABC: `` Compiling: /src/opt/sbd/sbdCut2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c -o src/opt/sbd/sbdCut2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9642,10 +9678,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -o src/opt/sbd/sbdWin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c -o src/sat/bsat/satMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c -o src/sat/bsat/satInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9655,34 +9691,34 @@ | ~~~~~~~~~~^ -> ABC: `` Compiling: /src/sat/bsat/satInterA.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c -o src/sat/bsat/satInterA.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterB.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c -o src/sat/bsat/satInterB.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterP.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -o src/sat/bsat/satInterP.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satProof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c -o src/sat/bsat/satProof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c -o src/sat/bsat/satSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c -o src/sat/bsat/satSolver2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver2i.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c -o src/sat/bsat/satSolver2i.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c -o src/sat/bsat/satSolver3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satStore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c -o src/sat/bsat/satStore.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satTrace.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c -o src/sat/bsat/satTrace.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c -o src/sat/bsat/satTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9691,16 +9727,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatSolver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c -o src/sat/xsat/xsatSolver.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatSolverAPI.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c -o src/sat/xsat/xsatSolverAPI.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatCnfReader.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c -o src/sat/xsat/xsatCnfReader.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/solver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c -o src/sat/satoko/solver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/solver_api.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c -o src/sat/satoko/solver_api.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9730,62 +9766,62 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c -o src/sat/msat/msatRead.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c -o src/sat/msat/msatSolverApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/sat/msat/msatMem.c: In function 'abc::Msat_MmStepStart(int)': -src/sat/msat/msatMem.c:438:32: warning: 'MEM[(struct Msat_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] - 438 | p->pMap[k] = p->pMems[0]; - | ~~~~~~~~~~^ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c -o src/sat/msat/msatSolverCore.o -> ABC: `` Compiling: /src/sat/msat/msatSolverIo.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c -o src/sat/msat/msatSolverIo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c -o src/sat/msat/msatSolverIo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/sat/msat/msatMem.c: In function 'abc::Msat_MmStepStart(int)': +src/sat/msat/msatMem.c:438:32: warning: 'MEM[(struct Msat_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] + 438 | p->pMap[k] = p->pMems[0]; + | ~~~~~~~~~~^ -> ABC: `` Compiling: /src/sat/msat/msatSolverSearch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c -o src/sat/msat/msatSolverSearch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSort.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c -o src/sat/msat/msatSort.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatVec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c -o src/sat/msat/msatVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c -o src/sat/cnf/cnfCore.o --> ABC: `` Compiling: /src/sat/cnf/cnfCut.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c -o src/sat/cnf/cnfCut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/cnf/cnfCut.c -> ABC: `` Compiling: /src/sat/cnf/cnfData.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c -o src/sat/cnf/cnfCut.o -> ABC: `` Compiling: /src/sat/cnf/cnfFast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c -o src/sat/cnf/cnfData.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c -o src/sat/cnf/cnfFast.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfMan.c --> ABC: `` Compiling: /src/sat/cnf/cnfMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -o src/sat/cnf/cnfMan.o +-> ABC: `` Compiling: /src/sat/cnf/cnfMap.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c -o src/sat/cnf/cnfMap.o +-> ABC: `` Compiling: /src/sat/cnf/cnfPost.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/cnf/cnfPost.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c -o src/sat/cnf/cnfPost.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c -o src/sat/cnf/cnfUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfWrite.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c -o src/sat/bmc/bmcBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c -o src/sat/bmc/bmcBmc2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9796,14 +9832,14 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c -o src/sat/bmc/bmcBmcAnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmci.c --> ABC: `` Compiling: /src/sat/bmc/bmcBmcG.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c -o src/sat/bmc/bmcBmci.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c -o src/sat/bmc/bmcBmcG.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/bmc/bmcBmcG.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c -o src/sat/bmc/bmcBmcG.o -> ABC: `` Compiling: /src/sat/bmc/bmcBmcS.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c -o src/sat/bmc/bmcBmcS.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexCare.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c -o src/sat/bmc/bmcCexCare.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9823,10 +9859,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c -o src/sat/bmc/bmcCexTools.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcChain.c --> ABC: `` Compiling: /src/sat/bmc/bmcClp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c -o src/sat/bmc/bmcChain.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c -o src/sat/bmc/bmcClp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/bmc/bmcClp.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c -o src/sat/bmc/bmcClp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcEco.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c -o src/sat/bmc/bmcEco.o @@ -9851,10 +9887,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcLoad.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c -o src/sat/bmc/bmcLoad.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c -o src/sat/bmc/bmcMaj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaj2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c -o src/sat/bmc/bmcMaj2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9872,31 +9908,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMulti.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c -o src/sat/bmc/bmcMulti.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/kissatSolver.c -> ABC: `` Compiling: /src/sat/bmc/bmcUnroll.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c -o src/sat/bmc/bmcUnroll.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, - from /build/reproducible-path/yosys-0.52/abc/src/aig/aig/aig.h:34, - from /build/reproducible-path/yosys-0.52/abc/src/aig/saig/saig.h:29, - from src/sat/bmc/bmc.h:29, - from src/sat/bmc/bmcICheck.c:21: -In function 'abc::Vec_IntAlloc(int)', - inlined from 'abc::Vec_IntStartNatural(int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vecInt.h:190:21, - inlined from 'abc::Bmc_PerformISearchOne(abc::Gia_Man_t_*, int, int, int, int, int, abc::Vec_Int_t_*)' at src/sat/bmc/bmcICheck.c:433:32: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:264:50: warning: argument 1 range [18446744065119617024, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] - 264 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from /usr/include/c++/14/cstdlib:79, - from /usr/include/c++/14/stdlib.h:36, - from /build/reproducible-path/yosys-0.52/abc/src/aig/aig/aig.h:30: -/usr/include/stdlib.h: In function 'abc::Bmc_PerformISearchOne(abc::Gia_Man_t_*, int, int, int, int, int, abc::Vec_Int_t_*)': -/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here - 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ - | ^~~~~~ --> ABC: `` Compiling: /src/sat/kissat/kissatSolver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatSolver.c -o src/sat/kissat/kissatSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/kissatTest.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatTest.c -o src/sat/kissat/kissatTest.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9906,14 +9924,10 @@ -> ABC: `` Compiling: /src/sat/kissat/analyze.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/analyze.c -o src/sat/kissat/analyze.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/ands.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ands.c -o src/sat/kissat/ands.o --> ABC: `` Compiling: /src/sat/kissat/arena.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c -o src/sat/kissat/arena.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/allocate.c:3: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/analyze.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -10125,10 +10139,26 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, + from /build/reproducible-path/yosys-0.52/abc/src/aig/aig/aig.h:34, + from /build/reproducible-path/yosys-0.52/abc/src/aig/saig/saig.h:29, + from src/sat/bmc/bmc.h:29, + from src/sat/bmc/bmcICheck.c:21: +In function 'abc::Vec_IntAlloc(int)', + inlined from 'abc::Vec_IntStartNatural(int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vecInt.h:190:21, + inlined from 'abc::Bmc_PerformISearchOne(abc::Gia_Man_t_*, int, int, int, int, int, abc::Vec_Int_t_*)' at src/sat/bmc/bmcICheck.c:433:32: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:264:50: warning: argument 1 range [18446744065119617024, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] + 264 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from /usr/include/c++/14/cstdlib:79, + from /usr/include/c++/14/stdlib.h:36, + from /build/reproducible-path/yosys-0.52/abc/src/aig/aig/aig.h:30: +/usr/include/stdlib.h: In function 'abc::Bmc_PerformISearchOne(abc::Gia_Man_t_*, int, int, int, int, int, abc::Vec_Int_t_*)': +/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here + 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ + | ^~~~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/analyze.c:5: + from src/sat/kissat/allocate.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -10340,8 +10370,21 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/ands.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ands.c -o src/sat/kissat/ands.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/arena.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c -o src/sat/kissat/arena.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/assign.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/assign.c -o src/sat/kissat/assign.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/averages.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/averages.c -o src/sat/kissat/averages.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/arena.c:2: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/ands.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -10553,10 +10596,9 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/ands.c:4: + from src/sat/kissat/arena.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -10768,16 +10810,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/assign.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/assign.c -o src/sat/kissat/assign.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/averages.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/averages.c -o src/sat/kissat/averages.o --> ABC: `` Compiling: /src/sat/kissat/backbone.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backbone.c -o src/sat/kissat/backbone.o --> ABC: `` Compiling: /src/sat/kissat/backtrack.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c -o src/sat/kissat/backtrack.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -10791,7 +10823,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -10807,7 +10838,6 @@ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/assign.c:1: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; @@ -11208,6 +11238,24 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/backbone.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backbone.c -o src/sat/kissat/backbone.o +-> ABC: `` Compiling: /src/sat/kissat/backtrack.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c -o src/sat/kissat/backtrack.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/build.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/build.c -o src/sat/kissat/build.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/bump.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c -o src/sat/kissat/bump.o +-> ABC: `` Compiling: /src/sat/kissat/check.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c -o src/sat/kissat/check.o +-> ABC: `` Compiling: /src/sat/kissat/classify.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/classify.c -o src/sat/kissat/classify.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -11221,108 +11269,18 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/backtrack.c:3: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:6: -src/sat/kissat/assign.h:15:25: note: declared here - 15 | typedef struct assigned assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] - 118 | flags *flags; - | ^~~~~ -src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' - 118 | flags *flags; - | ^~~~~ -In file included from src/sat/kissat/internal.h:13: -src/sat/kissat/flags.h:9:22: note: declared here - 9 | typedef struct flags flags; - | ^~~~~ -src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] - 123 | phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' - 123 | phases phases; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:22: -src/sat/kissat/phases.h:9:23: note: declared here - 9 | typedef struct phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:69:23: note: declared here - 69 | typedef STACK (value) eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] - 128 | links *links; - | ^~~~~ -src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' - 128 | links *links; - | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:25: -src/sat/kissat/queue.h:12:22: note: declared here - 12 | typedef struct links links; - | ^~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ -src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] - 129 | queue queue; - | ^~~~~ -src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' - 129 | queue queue; - | ^~~~~ -src/sat/kissat/queue.h:13:22: note: declared here - 13 | typedef struct queue queue; - | ^~~~~ -src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] - 138 | frames frames; - | ^~~~~~ -src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' - 138 | frames frames; - | ^~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:15: -src/sat/kissat/frames.h:27:23: note: declared here - 27 | typedef STACK (frame) frames; - | ^~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ @@ -11333,29 +11291,13 @@ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ -src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] - 172 | unsigneds clause; - | ^~~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ -src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' - 166 | clause conflict; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:10: -src/sat/kissat/clause.h:14:23: note: declared here - 14 | typedef struct clause clause; - | ^~~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ -src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] - 175 | arena arena; - | ^~~~~ -src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' - 175 | arena arena; - | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ @@ -11366,48 +11308,25 @@ src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ -In file included from src/sat/kissat/internal.h:4: -src/sat/kissat/arena.h:25:22: note: declared here - 25 | typedef STACK (ward) arena; - | ^~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ -src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] - 176 | vectors vectors; - | ^~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ -src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' - 176 | vectors vectors; - | ^~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ -In file included from src/sat/kissat/internal.h:33: -src/sat/kissat/vector.h:25:24: note: declared here - 25 | typedef struct vectors vectors; - | ^~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ -src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' - 179 | watches *watches; - | ^~~~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ -src/sat/kissat/watch.h:49:16: note: declared here - 49 | typedef vector watches; - | ^~~~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ @@ -11427,22 +11346,9 @@ src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ -src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] - 186 | averages averages[2]; - | ^~~~~~~~ -src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' - 186 | averages averages[2]; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:7: -src/sat/kissat/averages.h:11:25: note: declared here - 11 | typedef struct averages averages; - | ^~~~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ -src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] - 188 | reluctant reluctant; - | ^~~~~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ @@ -11450,118 +11356,45 @@ src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ -src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' - 188 | reluctant reluctant; - | ^~~~~~~~~ -In file included from src/sat/kissat/internal.h:27: -src/sat/kissat/reluctant.h:10:26: note: declared here - 10 | typedef struct reluctant reluctant; - | ^~~~~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ -src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] - 190 | bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' - 190 | bounds bounds; - | ^~~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ -In file included from src/sat/kissat/internal.h:17: -src/sat/kissat/kimits.h:10:23: note: declared here - 10 | typedef struct bounds bounds; - | ^~~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] - 191 | classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' - 191 | classification classification; - | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/internal.h:9: -src/sat/kissat/classify.h:16:31: note: declared here - 16 | typedef struct classification classification; - | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ -src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] - 192 | delays delays; - | ^~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ -src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' - 192 | delays delays; - | ^~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ -src/sat/kissat/kimits.h:12:23: note: declared here - 12 | typedef struct delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/kimits.h:15:24: note: declared here - 15 | typedef struct enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/kimits.h:16:24: note: declared here - 16 | typedef struct limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' - 195 | limits limits; - | ^~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ -src/sat/kissat/kimits.h:17:23: note: declared here - 17 | typedef struct limits limits; - | ^~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ @@ -11638,21 +11471,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/build.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/build.c -o src/sat/kissat/build.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/bump.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c -o src/sat/kissat/bump.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/check.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c -o src/sat/kissat/check.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/classify.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/classify.c -o src/sat/kissat/classify.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlineheap.h:5, - from src/sat/kissat/bump.c:3: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/backtrack.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -12077,21 +11899,9 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/clause.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/clause.c -o src/sat/kissat/clause.o --> ABC: `` Compiling: /src/sat/kissat/collect.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/collect.c -o src/sat/kissat/collect.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/colors.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c -o src/sat/kissat/colors.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/compact.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c -o src/sat/kissat/compact.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/clause.c:2: + from src/sat/kissat/inlineheap.h:5, + from src/sat/kissat/bump.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -12303,9 +12113,27 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/clause.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/clause.c -o src/sat/kissat/clause.o +-> ABC: `` Compiling: /src/sat/kissat/collect.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/collect.c -o src/sat/kissat/collect.o +-> ABC: `` Compiling: /src/sat/kissat/colors.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c -o src/sat/kissat/colors.o +-> ABC: `` Compiling: /src/sat/kissat/compact.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c -o src/sat/kissat/compact.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/config.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/config.c -o src/sat/kissat/config.o +-> ABC: `` Compiling: /src/sat/kissat/congruence.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c -o src/sat/kissat/congruence.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, - from src/sat/kissat/collect.c:3: + from src/sat/kissat/clause.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -12517,12 +12345,20 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/config.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/config.c -o src/sat/kissat/config.o +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/congruence.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/compact.c:2: + from src/sat/kissat/collect.h:4, + from src/sat/kissat/collect.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -12532,9 +12368,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/congruence.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c -o src/sat/kissat/congruence.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -12706,9 +12539,21 @@ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ +In file included from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/congruence.c:4: +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ @@ -12718,71 +12563,41 @@ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ +In file included from src/sat/kissat/internal.h:6: +src/sat/kissat/assign.h:15:25: note: declared here + 15 | typedef struct assigned assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/decide.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c -o src/sat/kissat/decide.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/deduce.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/deduce.c -o src/sat/kissat/deduce.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/congruence.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -In file included from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/congruence.c:4: -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:6: -src/sat/kissat/assign.h:15:25: note: declared here - 15 | typedef struct assigned assigned; - | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; @@ -12961,8 +12776,9 @@ 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlineframes.h:5, - from src/sat/kissat/decide.c:2: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/compact.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -13174,6 +12990,21 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/decide.c +-> ABC: `` Compiling: /src/sat/kissat/deduce.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c -o src/sat/kissat/decide.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/deduce.c -o src/sat/kissat/deduce.o +-> ABC: `` Compiling: /src/sat/kissat/definition.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/definition.c -o src/sat/kissat/definition.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlineframes.h:5, + from src/sat/kissat/decide.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -13187,6 +13018,15 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -13196,6 +13036,18 @@ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ @@ -13206,9 +13058,23 @@ src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:6: +src/sat/kissat/assign.h:15:25: note: declared here + 15 | typedef struct assigned assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ +src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] + 118 | flags *flags; + | ^~~~~ +src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' + 118 | flags *flags; + | ^~~~~ +In file included from src/sat/kissat/internal.h:13: +src/sat/kissat/flags.h:9:22: note: declared here + 9 | typedef struct flags flags; + | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ @@ -13226,6 +13092,19 @@ src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ +src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] + 123 | phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' + 123 | phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] + 125 | eliminated eliminated; + | ^~~~~~~~~~ +In file included from src/sat/kissat/internal.h:22: +src/sat/kissat/phases.h:9:23: note: declared here + 9 | typedef struct phases phases; + | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ @@ -13238,6 +13117,18 @@ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ +src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:69:23: note: declared here + 69 | typedef STACK (value) eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' + 128 | links *links; + | ^~~~~ +src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] + 128 | links *links; + | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ @@ -13245,6 +13136,29 @@ src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ +In file included from src/sat/kissat/internal.h:25: +src/sat/kissat/queue.h:12:22: note: declared here + 12 | typedef struct links links; + | ^~~~~ +src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] + 129 | queue queue; + | ^~~~~ +src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' + 129 | queue queue; + | ^~~~~ +src/sat/kissat/queue.h:13:22: note: declared here + 13 | typedef struct queue queue; + | ^~~~~ +src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] + 138 | frames frames; + | ^~~~~~ +src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' + 138 | frames frames; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:15: +src/sat/kissat/frames.h:27:23: note: declared here + 27 | typedef STACK (frame) frames; + | ^~~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ @@ -13260,16 +13174,36 @@ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ +src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] + 172 | unsigneds clause; + | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ +src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' + 166 | clause conflict; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:10: +src/sat/kissat/clause.h:14:23: note: declared here + 14 | typedef struct clause clause; + | ^~~~~~ +src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] + 175 | arena arena; + | ^~~~~ +src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' + 175 | arena arena; + | ^~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ +In file included from src/sat/kissat/internal.h:4: +src/sat/kissat/arena.h:25:22: note: declared here + 25 | typedef STACK (ward) arena; + | ^~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; @@ -13277,9 +13211,22 @@ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ +src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] + 176 | vectors vectors; + | ^~~~~~~ +src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' + 176 | vectors vectors; + | ^~~~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ +In file included from src/sat/kissat/internal.h:33: +src/sat/kissat/vector.h:25:24: note: declared here + 25 | typedef struct vectors vectors; + | ^~~~~~~ +src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] + 179 | watches *watches; + | ^~~~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -13287,6 +13234,12 @@ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ +src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' + 179 | watches *watches; + | ^~~~~~~ +src/sat/kissat/watch.h:49:16: note: declared here + 49 | typedef vector watches; + | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ @@ -13294,6 +13247,16 @@ src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ +src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] + 186 | averages averages[2]; + | ^~~~~~~~ +src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' + 186 | averages averages[2]; + | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:7: +src/sat/kissat/averages.h:11:25: note: declared here + 11 | typedef struct averages averages; + | ^~~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ @@ -13303,19 +13266,49 @@ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ +src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] + 188 | reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' + 188 | reluctant reluctant; + | ^~~~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:27: +src/sat/kissat/reluctant.h:10:26: note: declared here + 10 | typedef struct reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] + 190 | bounds bounds; + | ^~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ +src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' + 190 | bounds bounds; + | ^~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:17: +src/sat/kissat/kimits.h:10:23: note: declared here + 10 | typedef struct bounds bounds; + | ^~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] + 191 | classification classification; + | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' + 191 | classification classification; + | ^~~~~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:9: +src/sat/kissat/classify.h:16:31: note: declared here + 16 | typedef struct classification classification; + | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ @@ -13323,19 +13316,65 @@ src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' + 192 | delays delays; + | ^~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ +src/sat/kissat/kimits.h:12:23: note: declared here + 12 | typedef struct delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/kimits.h:15:24: note: declared here + 15 | typedef struct enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/kimits.h:16:24: note: declared here + 16 | typedef struct limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' + 195 | limits limits; + | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ +src/sat/kissat/kimits.h:17:23: note: declared here + 17 | typedef struct limits limits; + | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ @@ -13389,9 +13428,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/definition.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/definition.c -o src/sat/kissat/definition.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/dense.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c -o src/sat/kissat/dense.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -13836,20 +13872,20 @@ 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/dump.c --> ABC: `` Compiling: /src/sat/kissat/eliminate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c -o src/sat/kissat/dump.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/eliminate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/eliminate.c -o src/sat/kissat/eliminate.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/equivalences.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/equivalences.c -o src/sat/kissat/equivalences.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/error.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/error.c -o src/sat/kissat/error.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/extend.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/extend.c -o src/sat/kissat/extend.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/equivalences.c:2: @@ -14064,12 +14100,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/factor.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/factor.c -o src/sat/kissat/factor.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/eliminate.c:4: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/extend.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -14282,9 +14316,8 @@ 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/extend.c:2: + from src/sat/kissat/collect.h:4, + from src/sat/kissat/eliminate.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -14294,8 +14327,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/fastel.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/fastel.c -o src/sat/kissat/fastel.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -14498,6 +14529,34 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/factor.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/factor.c -o src/sat/kissat/factor.o +-> ABC: `` Compiling: /src/sat/kissat/fastel.c +-> ABC: `` Compiling: /src/sat/kissat/file.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/fastel.c -o src/sat/kissat/fastel.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c -o src/sat/kissat/file.o +-> ABC: `` Compiling: /src/sat/kissat/flags.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/flags.c -o src/sat/kissat/flags.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/format.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/format.c -o src/sat/kissat/format.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/fastel.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/forward.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/forward.c -o src/sat/kissat/forward.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/dense.h:4, from src/sat/kissat/factor.c:4: @@ -14512,7 +14571,7 @@ | ^~~~~ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/factor.c:7: + from src/sat/kissat/fastel.c:4: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -14596,7 +14655,7 @@ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ -In file included from src/sat/kissat/factor.c:3: +In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ @@ -14606,7 +14665,7 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ -In file included from src/sat/kissat/clause.h:4: +In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ @@ -14715,31 +14774,9 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/fastel.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -src/sat/kissat/factor.c:51:11: warning: declaration of 'abc::scores* abc::factoring::scores' changes meaning of 'scores' [-Wchanges-meaning] - 51 | scores *scores; - | ^~~~~~ -src/sat/kissat/factor.c:51:3: note: used here to mean 'typedef struct abc::scores abc::scores' - 51 | scores *scores; - | ^~~~~~ -src/sat/kissat/factor.c:44:23: note: declared here - 44 | typedef struct scores scores; - | ^~~~~~ --> ABC: `` Compiling: /src/sat/kissat/file.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c -o src/sat/kissat/file.o In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/fastel.c:4: + from src/sat/kissat/factor.c:7: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -14823,7 +14860,7 @@ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ -In file included from src/sat/kissat/internal.h:10: +In file included from src/sat/kissat/factor.c:3: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ @@ -14833,7 +14870,7 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ -In file included from src/sat/kissat/internal.h:4: +In file included from src/sat/kissat/clause.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ @@ -14942,26 +14979,22 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/flags.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/flags.c -o src/sat/kissat/flags.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/format.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/format.c -o src/sat/kissat/format.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/forward.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/forward.c -o src/sat/kissat/forward.o +src/sat/kissat/factor.c:51:11: warning: declaration of 'abc::scores* abc::factoring::scores' changes meaning of 'scores' [-Wchanges-meaning] + 51 | scores *scores; + | ^~~~~~ +src/sat/kissat/factor.c:51:3: note: used here to mean 'typedef struct abc::scores abc::scores' + 51 | scores *scores; + | ^~~~~~ +src/sat/kissat/factor.c:44:23: note: declared here + 44 | typedef struct scores scores; + | ^~~~~~ -> ABC: `` Compiling: /src/sat/kissat/gates.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/gates.c -o src/sat/kissat/gates.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/heap.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c -o src/sat/kissat/heap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/flags.c:1: + from src/sat/kissat/forward.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -15176,7 +15209,7 @@ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/forward.c:4: + from src/sat/kissat/flags.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -15240,6 +15273,7 @@ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/heap.c In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; @@ -15263,6 +15297,7 @@ src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c -o src/sat/kissat/heap.o src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ @@ -15388,6 +15423,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/ifthenelse.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ifthenelse.c -o src/sat/kissat/ifthenelse.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/import.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/import.c -o src/sat/kissat/import.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -15603,6 +15645,9 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/internal.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/internal.c -o src/sat/kissat/internal.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlineheap.h:5, from src/sat/kissat/heap.c:2: @@ -15817,19 +15862,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/ifthenelse.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ifthenelse.c -o src/sat/kissat/ifthenelse.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/import.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/import.c -o src/sat/kissat/import.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/internal.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/internal.c -o src/sat/kissat/internal.o +-> ABC: `` Compiling: /src/sat/kissat/kimits.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kimits.c -o src/sat/kissat/kimits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/ifthenelse.c:4: + from src/sat/kissat/internal.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -16041,12 +16080,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/kimits.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kimits.c -o src/sat/kissat/kimits.o --> ABC: `` Compiling: /src/sat/kissat/kitten.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kitten.c -o src/sat/kissat/kitten.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/import.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -16263,7 +16296,7 @@ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/internal.c:5: + from src/sat/kissat/ifthenelse.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -16475,11 +16508,8 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/krite.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c -o src/sat/kissat/krite.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/kitten.c:116: + from src/sat/kissat/kimits.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -16691,11 +16721,14 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/learn.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/learn.c -o src/sat/kissat/learn.o +-> ABC: `` Compiling: /src/sat/kissat/kitten.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kitten.c -o src/sat/kissat/kitten.o +-> ABC: `` Compiling: /src/sat/kissat/krite.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c -o src/sat/kissat/krite.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/kimits.c:1: + from src/sat/kissat/kitten.c:116: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -16920,6 +16953,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/learn.c src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -16935,6 +16969,7 @@ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/learn.c -o src/sat/kissat/learn.o In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; @@ -17036,6 +17071,7 @@ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ +-> ABC: `` Compiling: /src/sat/kissat/logging.c src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ @@ -17076,6 +17112,7 @@ src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/logging.c -o src/sat/kissat/logging.o src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ @@ -17122,6 +17159,23 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/kucky.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kucky.c -o src/sat/kissat/kucky.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/minimize.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c -o src/sat/kissat/minimize.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/mode.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/mode.c -o src/sat/kissat/mode.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/kptions.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kptions.c -o src/sat/kissat/kptions.o +-> ABC: `` Compiling: /src/sat/kissat/phases.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/phases.c -o src/sat/kissat/phases.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -17212,6 +17266,7 @@ src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ +-> ABC: `` Compiling: /src/sat/kissat/preprocess.c src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ @@ -17242,6 +17297,7 @@ src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c -o src/sat/kissat/preprocess.o src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ @@ -17337,17 +17393,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/logging.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/logging.c -o src/sat/kissat/logging.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/kucky.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kucky.c -o src/sat/kissat/kucky.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/minimize.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c -o src/sat/kissat/minimize.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/mode.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/mode.c -o src/sat/kissat/mode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, @@ -17567,7 +17612,7 @@ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/minimize.c:2: + from src/sat/kissat/mode.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -17782,7 +17827,18 @@ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/mode.c:3: + from src/sat/kissat/minimize.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/phases.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -17827,10 +17883,77 @@ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:22: +src/sat/kissat/phases.h:9:23: note: declared here + 9 | typedef struct phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:69:23: note: declared here + 69 | typedef STACK (value) eliminated; + | ^~~~~~~~~~ +In file included from src/sat/kissat/internal.h:6: +src/sat/kissat/assign.h:15:25: note: declared here + 15 | typedef struct assigned assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] + 128 | links *links; + | ^~~~~ +src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] + 118 | flags *flags; + | ^~~~~ +src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' + 118 | flags *flags; + | ^~~~~ +src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' + 128 | links *links; + | ^~~~~ +In file included from src/sat/kissat/internal.h:13: +src/sat/kissat/flags.h:9:22: note: declared here + 9 | typedef struct flags flags; + | ^~~~~ +In file included from src/sat/kissat/internal.h:25: +src/sat/kissat/queue.h:12:22: note: declared here + 12 | typedef struct links links; + | ^~~~~ +src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] + 123 | phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' + 123 | phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] + 129 | queue queue; + | ^~~~~ +src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' + 129 | queue queue; + | ^~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ +src/sat/kissat/queue.h:13:22: note: declared here + 13 | typedef struct queue queue; + | ^~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ @@ -17846,6 +17969,12 @@ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ +src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] + 138 | frames frames; + | ^~~~~~ +src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' + 138 | frames frames; + | ^~~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; @@ -17869,12 +17998,26 @@ src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ -src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] +In file included from src/sat/kissat/internal.h:15: +src/sat/kissat/frames.h:27:23: note: declared here + 27 | typedef STACK (frame) frames; + | ^~~~~~ +src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] + 172 | unsigneds clause; + | ^~~~~~ +src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ +src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' + 166 | clause conflict; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:10: +src/sat/kissat/clause.h:14:23: note: declared here + 14 | typedef struct clause clause; + | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; @@ -17885,6 +18028,22 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ +src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] + 175 | arena arena; + | ^~~~~ +src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' + 175 | arena arena; + | ^~~~~ +In file included from src/sat/kissat/internal.h:4: +src/sat/kissat/arena.h:25:22: note: declared here + 25 | typedef STACK (ward) arena; + | ^~~~~ +src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] + 176 | vectors vectors; + | ^~~~~~~ +src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' + 176 | vectors vectors; + | ^~~~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -17892,13 +18051,26 @@ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:33: +src/sat/kissat/vector.h:25:24: note: declared here + 25 | typedef struct vectors vectors; + | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ +src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] + 179 | watches *watches; + | ^~~~~~~ +src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' + 179 | watches *watches; + | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ +src/sat/kissat/watch.h:49:16: note: declared here + 49 | typedef vector watches; + | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ @@ -17921,9 +18093,15 @@ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] + 186 | averages averages[2]; + | ^~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' + 186 | averages averages[2]; + | ^~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; @@ -17934,6 +18112,10 @@ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ +In file included from src/sat/kissat/internal.h:7: +src/sat/kissat/averages.h:11:25: note: declared here + 11 | typedef struct averages averages; + | ^~~~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; @@ -17944,10 +18126,20 @@ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] + 188 | reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' + 188 | reluctant reluctant; + | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:27: +src/sat/kissat/reluctant.h:10:26: note: declared here + 10 | typedef struct reluctant reluctant; + | ^~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ @@ -17960,12 +18152,18 @@ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ +src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] + 190 | bounds bounds; + | ^~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ +src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' + 190 | bounds bounds; + | ^~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ @@ -17981,6 +18179,10 @@ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ +In file included from src/sat/kissat/internal.h:17: +src/sat/kissat/kimits.h:10:23: note: declared here + 10 | typedef struct bounds bounds; + | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ @@ -17990,188 +18192,16 @@ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/kptions.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kptions.c -o src/sat/kissat/kptions.o --> ABC: `` Compiling: /src/sat/kissat/phases.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/phases.c -o src/sat/kissat/phases.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/preprocess.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c -o src/sat/kissat/preprocess.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/print.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/print.c -o src/sat/kissat/print.o --> ABC: `` Compiling: /src/sat/kissat/probe.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/probe.c -o src/sat/kissat/probe.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/phases.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:6: -src/sat/kissat/assign.h:15:25: note: declared here - 15 | typedef struct assigned assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] - 118 | flags *flags; - | ^~~~~ -src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' - 118 | flags *flags; - | ^~~~~ -In file included from src/sat/kissat/internal.h:13: -src/sat/kissat/flags.h:9:22: note: declared here - 9 | typedef struct flags flags; - | ^~~~~ -src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] - 123 | phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' - 123 | phases phases; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:22: -src/sat/kissat/phases.h:9:23: note: declared here - 9 | typedef struct phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:69:23: note: declared here - 69 | typedef STACK (value) eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] - 128 | links *links; - | ^~~~~ -src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' - 128 | links *links; - | ^~~~~ -In file included from src/sat/kissat/internal.h:25: -src/sat/kissat/queue.h:12:22: note: declared here - 12 | typedef struct links links; - | ^~~~~ -src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] - 129 | queue queue; - | ^~~~~ -src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' - 129 | queue queue; - | ^~~~~ -src/sat/kissat/queue.h:13:22: note: declared here - 13 | typedef struct queue queue; - | ^~~~~ -src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] - 138 | frames frames; - | ^~~~~~ -src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' - 138 | frames frames; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:15: -src/sat/kissat/frames.h:27:23: note: declared here - 27 | typedef STACK (frame) frames; - | ^~~~~~ -src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] - 172 | unsigneds clause; - | ^~~~~~ -src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' - 166 | clause conflict; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:10: -src/sat/kissat/clause.h:14:23: note: declared here - 14 | typedef struct clause clause; - | ^~~~~~ -src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] - 175 | arena arena; - | ^~~~~ -src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' - 175 | arena arena; - | ^~~~~ -In file included from src/sat/kissat/internal.h:4: -src/sat/kissat/arena.h:25:22: note: declared here - 25 | typedef STACK (ward) arena; - | ^~~~~ -src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] - 176 | vectors vectors; - | ^~~~~~~ -src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' - 176 | vectors vectors; - | ^~~~~~~ -In file included from src/sat/kissat/internal.h:33: -src/sat/kissat/vector.h:25:24: note: declared here - 25 | typedef struct vectors vectors; - | ^~~~~~~ -src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/watch.h:49:16: note: declared here - 49 | typedef vector watches; - | ^~~~~~~ -src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] - 186 | averages averages[2]; - | ^~~~~~~~ -src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' - 186 | averages averages[2]; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:7: -src/sat/kissat/averages.h:11:25: note: declared here - 11 | typedef struct averages averages; - | ^~~~~~~~ -src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] - 188 | reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' - 188 | reluctant reluctant; - | ^~~~~~~~~ -In file included from src/sat/kissat/internal.h:27: -src/sat/kissat/reluctant.h:10:26: note: declared here - 10 | typedef struct reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] - 190 | bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' - 190 | bounds bounds; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:17: -src/sat/kissat/kimits.h:10:23: note: declared here - 10 | typedef struct bounds bounds; - | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; @@ -18222,6 +18252,8 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/print.c +-> ABC: `` Compiling: /src/sat/kissat/probe.c In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/preprocess.c:2: @@ -18436,6 +18468,22 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/print.c -o src/sat/kissat/print.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/probe.c -o src/sat/kissat/probe.o +-> ABC: `` Compiling: /src/sat/kissat/profile.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/profile.c -o src/sat/kissat/profile.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/promote.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c -o src/sat/kissat/promote.o +-> ABC: `` Compiling: /src/sat/kissat/proof.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proof.c -o src/sat/kissat/proof.o +-> ABC: `` Compiling: /src/sat/kissat/propbeyond.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propbeyond.c -o src/sat/kissat/propbeyond.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/probe.c:6: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -18649,30 +18697,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/profile.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/profile.c -o src/sat/kissat/profile.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/promote.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c -o src/sat/kissat/promote.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/proof.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proof.c -o src/sat/kissat/proof.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/propbeyond.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propbeyond.c -o src/sat/kissat/propbeyond.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/propdense.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propdense.c -o src/sat/kissat/propdense.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/propinitially.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propinitially.c -o src/sat/kissat/propinitially.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/proprobe.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c -o src/sat/kissat/proprobe.o --> ABC: `` Compiling: /src/sat/kissat/propsearch.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c -o src/sat/kissat/propsearch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -19103,6 +19127,42 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/propinitially.c +-> ABC: `` Compiling: /src/sat/kissat/propdense.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propinitially.c -o src/sat/kissat/propinitially.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propdense.c -o src/sat/kissat/propdense.o +-> ABC: `` Compiling: /src/sat/kissat/proprobe.c +-> ABC: `` Compiling: /src/sat/kissat/propsearch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c -o src/sat/kissat/proprobe.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c -o src/sat/kissat/propsearch.o +-> ABC: `` Compiling: /src/sat/kissat/queue.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/queue.c -o src/sat/kissat/queue.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/reduce.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reduce.c -o src/sat/kissat/reduce.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/reluctant.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reluctant.c -o src/sat/kissat/reluctant.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/reorder.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reorder.c -o src/sat/kissat/reorder.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/rephase.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/rephase.c -o src/sat/kissat/rephase.o +-> ABC: `` Compiling: /src/sat/kissat/report.c +-> ABC: `` Compiling: /src/sat/kissat/resize.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c -o src/sat/kissat/report.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c -o src/sat/kissat/resize.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/resolve.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resolve.c -o src/sat/kissat/resolve.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -19322,8 +19382,7 @@ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/fastassign.h:6, - from src/sat/kissat/propdense.c:2: + from src/sat/kissat/queue.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -19535,15 +19594,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/queue.c --> ABC: `` Compiling: /src/sat/kissat/reduce.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/queue.c -o src/sat/kissat/queue.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reduce.c -o src/sat/kissat/reduce.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/reluctant.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reluctant.c -o src/sat/kissat/reluctant.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -19764,7 +19814,7 @@ from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastassign.h:6, - from src/sat/kissat/propsearch.c:2: + from src/sat/kissat/propdense.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -19774,15 +19824,29 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/fastassign.h:6, + from src/sat/kissat/propsearch.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ @@ -19803,12 +19867,23 @@ src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/reluctant.c:1: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; @@ -19976,21 +20051,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/queue.c:1: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/reorder.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reorder.c -o src/sat/kissat/reorder.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -20013,13 +20073,48 @@ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' + 118 | flags *flags; + | ^~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:6: +src/sat/kissat/assign.h:15:25: note: declared here + 15 | typedef struct assigned assigned; + | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:13: +src/sat/kissat/flags.h:9:22: note: declared here + 9 | typedef struct flags flags; + | ^~~~~ +src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] + 118 | flags *flags; + | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ +src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] + 123 | phases phases; + | ^~~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ +src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' + 123 | phases phases; + | ^~~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ @@ -20033,22 +20128,60 @@ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ +In file included from src/sat/kissat/internal.h:22: +src/sat/kissat/phases.h:9:23: note: declared here + 9 | typedef struct phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:69:23: note: declared here + 69 | typedef STACK (value) eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] + 128 | links *links; + | ^~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ +src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' + 128 | links *links; + | ^~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ +In file included from src/sat/kissat/internal.h:25: +src/sat/kissat/queue.h:12:22: note: declared here + 12 | typedef struct links links; + | ^~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ +src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] + 129 | queue queue; + | ^~~~~ +src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' + 129 | queue queue; + | ^~~~~ +src/sat/kissat/queue.h:13:22: note: declared here + 13 | typedef struct queue queue; + | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ +src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] + 138 | frames frames; + | ^~~~~~ +src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' + 138 | frames frames; + | ^~~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ @@ -20058,6 +20191,10 @@ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ +In file included from src/sat/kissat/internal.h:15: +src/sat/kissat/frames.h:27:23: note: declared here + 27 | typedef STACK (frame) frames; + | ^~~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ @@ -20088,6 +20225,45 @@ src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ +src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] + 172 | unsigneds clause; + | ^~~~~~ +src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' + 166 | clause conflict; + | ^~~~~~ +src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] + 176 | vectors vectors; + | ^~~~~~~ +src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' + 176 | vectors vectors; + | ^~~~~~~ +In file included from src/sat/kissat/internal.h:10: +src/sat/kissat/clause.h:14:23: note: declared here + 14 | typedef struct clause clause; + | ^~~~~~ +src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] + 175 | arena arena; + | ^~~~~ +In file included from src/sat/kissat/internal.h:33: +src/sat/kissat/vector.h:25:24: note: declared here + 25 | typedef struct vectors vectors; + | ^~~~~~~ +src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' + 175 | arena arena; + | ^~~~~ +src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] + 179 | watches *watches; + | ^~~~~~~ +src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' + 179 | watches *watches; + | ^~~~~~~ +src/sat/kissat/watch.h:49:16: note: declared here + 49 | typedef vector watches; + | ^~~~~~~ +In file included from src/sat/kissat/internal.h:4: +src/sat/kissat/arena.h:25:22: note: declared here + 25 | typedef STACK (ward) arena; + | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ @@ -20098,70 +20274,156 @@ src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ +src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] + 186 | averages averages[2]; + | ^~~~~~~~ +src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' + 186 | averages averages[2]; + | ^~~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:7: +src/sat/kissat/averages.h:11:25: note: declared here + 11 | typedef struct averages averages; + | ^~~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ +src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] + 188 | reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' + 188 | reluctant reluctant; + | ^~~~~~~~~ +In file included from src/sat/kissat/internal.h:27: +src/sat/kissat/reluctant.h:10:26: note: declared here + 10 | typedef struct reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] + 190 | bounds bounds; + | ^~~~~~ +src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' + 190 | bounds bounds; + | ^~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:17: +src/sat/kissat/kimits.h:10:23: note: declared here + 10 | typedef struct bounds bounds; + | ^~~~~~ +src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] + 191 | classification classification; + | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ +src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' + 191 | classification classification; + | ^~~~~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:9: +src/sat/kissat/classify.h:16:31: note: declared here + 16 | typedef struct classification classification; + | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] + 192 | delays delays; + | ^~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/kimits.h:12:23: note: declared here + 12 | typedef struct delays delays; + | ^~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ +src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/kimits.h:15:24: note: declared here + 15 | typedef struct enabled enabled; + | ^~~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ +src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] + 194 | limited limited; + | ^~~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' + 194 | limited limited; + | ^~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/kimits.h:16:24: note: declared here + 16 | typedef struct limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] + 195 | limits limits; + | ^~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/kimits.h:17:23: note: declared here + 17 | typedef struct limits limits; + | ^~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ @@ -20193,9 +20455,9 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/reluctant.c:1: + from src/sat/kissat/collect.h:4, + from src/sat/kissat/reduce.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -20408,8 +20670,7 @@ 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/reduce.c:3: + from src/sat/kissat/rephase.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -20621,15 +20882,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/rephase.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/rephase.c -o src/sat/kissat/rephase.o --> ABC: `` Compiling: /src/sat/kissat/report.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c -o src/sat/kissat/report.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/resize.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c -o src/sat/kissat/resize.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -20643,6 +20895,19 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/resize.c:3: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -20713,13 +20978,25 @@ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ @@ -20733,9 +21010,19 @@ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ +In file included from src/sat/kissat/internal.h:6: +src/sat/kissat/assign.h:15:25: note: declared here + 15 | typedef struct assigned assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] + 118 | flags *flags; + | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -20743,35 +21030,90 @@ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ +src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' + 118 | flags *flags; + | ^~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:13: +src/sat/kissat/flags.h:9:22: note: declared here + 9 | typedef struct flags flags; + | ^~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ +src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] + 123 | phases phases; + | ^~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ +src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' + 123 | phases phases; + | ^~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:22: +src/sat/kissat/phases.h:9:23: note: declared here + 9 | typedef struct phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' + 125 | eliminated eliminated; + | ^~~~~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ +src/sat/kissat/internal.h:69:23: note: declared here + 69 | typedef STACK (value) eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] + 128 | links *links; + | ^~~~~ +src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' + 128 | links *links; + | ^~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:25: +src/sat/kissat/queue.h:12:22: note: declared here + 12 | typedef struct links links; + | ^~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ +src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] + 129 | queue queue; + | ^~~~~ +src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' + 129 | queue queue; + | ^~~~~ +src/sat/kissat/queue.h:13:22: note: declared here + 13 | typedef struct queue queue; + | ^~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] + 138 | frames frames; + | ^~~~~~ +src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' + 138 | frames frames; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:15: +src/sat/kissat/frames.h:27:23: note: declared here + 27 | typedef STACK (frame) frames; + | ^~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ @@ -20785,6 +21127,9 @@ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ +src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] + 172 | unsigneds clause; + | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; @@ -20792,6 +21137,13 @@ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' + 166 | clause conflict; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:10: +src/sat/kissat/clause.h:14:23: note: declared here + 14 | typedef struct clause clause; + | ^~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ @@ -20799,6 +21151,16 @@ src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] + 175 | arena arena; + | ^~~~~ +src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' + 175 | arena arena; + | ^~~~~ +In file included from src/sat/kissat/internal.h:4: +src/sat/kissat/arena.h:25:22: note: declared here + 25 | typedef STACK (ward) arena; + | ^~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ @@ -20814,15 +21176,28 @@ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ +src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] + 176 | vectors vectors; + | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ +src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' + 176 | vectors vectors; + | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:33: +src/sat/kissat/vector.h:25:24: note: declared here + 25 | typedef struct vectors vectors; + | ^~~~~~~ +src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] + 179 | watches *watches; + | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ @@ -20835,149 +21210,25 @@ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ +src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' + 179 | watches *watches; + | ^~~~~~~ +src/sat/kissat/watch.h:49:16: note: declared here + 49 | typedef vector watches; + | ^~~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ +src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] + 186 | averages averages[2]; + | ^~~~~~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/resolve.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resolve.c -o src/sat/kissat/resolve.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/rephase.c:4: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:6: -src/sat/kissat/assign.h:15:25: note: declared here - 15 | typedef struct assigned assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] - 118 | flags *flags; - | ^~~~~ -src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' - 118 | flags *flags; - | ^~~~~ -In file included from src/sat/kissat/internal.h:13: -src/sat/kissat/flags.h:9:22: note: declared here - 9 | typedef struct flags flags; - | ^~~~~ -src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] - 123 | phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' - 123 | phases phases; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:22: -src/sat/kissat/phases.h:9:23: note: declared here - 9 | typedef struct phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:69:23: note: declared here - 69 | typedef STACK (value) eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] - 128 | links *links; - | ^~~~~ -src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' - 128 | links *links; - | ^~~~~ -In file included from src/sat/kissat/internal.h:25: -src/sat/kissat/queue.h:12:22: note: declared here - 12 | typedef struct links links; - | ^~~~~ -src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] - 129 | queue queue; - | ^~~~~ -src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' - 129 | queue queue; - | ^~~~~ -src/sat/kissat/queue.h:13:22: note: declared here - 13 | typedef struct queue queue; - | ^~~~~ -src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] - 138 | frames frames; - | ^~~~~~ -src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' - 138 | frames frames; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:15: -src/sat/kissat/frames.h:27:23: note: declared here - 27 | typedef STACK (frame) frames; - | ^~~~~~ -src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] - 172 | unsigneds clause; - | ^~~~~~ -src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' - 166 | clause conflict; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:10: -src/sat/kissat/clause.h:14:23: note: declared here - 14 | typedef struct clause clause; - | ^~~~~~ -src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] - 175 | arena arena; - | ^~~~~ -src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' - 175 | arena arena; - | ^~~~~ -In file included from src/sat/kissat/internal.h:4: -src/sat/kissat/arena.h:25:22: note: declared here - 25 | typedef STACK (ward) arena; - | ^~~~~ -src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] - 176 | vectors vectors; - | ^~~~~~~ -src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' - 176 | vectors vectors; - | ^~~~~~~ -In file included from src/sat/kissat/internal.h:33: -src/sat/kissat/vector.h:25:24: note: declared here - 25 | typedef struct vectors vectors; - | ^~~~~~~ -src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/watch.h:49:16: note: declared here - 49 | typedef vector watches; - | ^~~~~~~ -src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] - 186 | averages averages[2]; - | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ @@ -21064,7 +21315,7 @@ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/resize.c:3: + from src/sat/kissat/resolve.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -21276,10 +21527,20 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/resources.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resources.c -o src/sat/kissat/resources.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/restart.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c -o src/sat/kissat/restart.o +-> ABC: `` Compiling: /src/sat/kissat/search.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/search.c -o src/sat/kissat/search.o +-> ABC: `` Compiling: /src/sat/kissat/shrink.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/shrink.c -o src/sat/kissat/shrink.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/resolve.c:4: + from src/sat/kissat/restart.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -21491,22 +21752,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/resources.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resources.c -o src/sat/kissat/resources.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/restart.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c -o src/sat/kissat/restart.o --> ABC: `` Compiling: /src/sat/kissat/search.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/search.c -o src/sat/kissat/search.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/shrink.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/shrink.c -o src/sat/kissat/shrink.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/search.c:7: + from src/sat/kissat/shrink.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -21586,26 +21835,13 @@ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/shrink.c:3: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ @@ -21681,7 +21917,7 @@ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/search.c:4: +In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ @@ -21731,6 +21967,24 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/smooth.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/smooth.c -o src/sat/kissat/smooth.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/sort.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sort.c -o src/sat/kissat/sort.o +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/search.c:7: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -21883,7 +22137,7 @@ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:9: +In file included from src/sat/kissat/search.c:4: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ @@ -21933,17 +22187,20 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/restart.c:5: + from src/sat/kissat/smooth.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/stack.c src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c -o src/sat/kissat/stack.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -22090,6 +22347,7 @@ src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ +-> ABC: `` Compiling: /src/sat/kissat/statistics.c src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ @@ -22146,23 +22404,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/smooth.c --> ABC: `` Compiling: /src/sat/kissat/sort.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/smooth.c -o src/sat/kissat/smooth.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sort.c -o src/sat/kissat/sort.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/stack.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c -o src/sat/kissat/stack.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/statistics.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/statistics.c -o src/sat/kissat/statistics.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/strengthen.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c -o src/sat/kissat/strengthen.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/smooth.c:2: + from src/sat/kissat/sort.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -22175,6 +22423,7 @@ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ @@ -22374,8 +22623,21 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/substitute.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c -o src/sat/kissat/substitute.o +-> ABC: `` Compiling: /src/sat/kissat/sweep.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sweep.c -o src/sat/kissat/sweep.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/terminate.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/terminate.c -o src/sat/kissat/terminate.o +-> ABC: `` Compiling: /src/sat/kissat/tiers.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/tiers.c -o src/sat/kissat/tiers.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/sort.c:1: + from src/sat/kissat/collect.h:4, + from src/sat/kissat/strengthen.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -22511,6 +22773,7 @@ src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ +-> ABC: `` Compiling: /src/sat/kissat/trail.c src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ @@ -22577,6 +22840,7 @@ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/trail.c -o src/sat/kissat/trail.o src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ @@ -22587,9 +22851,21 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/sweep.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/strengthen.c:2: + from src/sat/kissat/terminate.h:4, + from src/sat/kissat/terminate.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -22801,42 +23077,23 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/substitute.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c -o src/sat/kissat/substitute.o --> ABC: `` Compiling: /src/sat/kissat/sweep.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sweep.c -o src/sat/kissat/sweep.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/terminate.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/terminate.c -o src/sat/kissat/terminate.o --> ABC: `` Compiling: /src/sat/kissat/tiers.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/tiers.c -o src/sat/kissat/tiers.o --> ABC: `` Compiling: /src/sat/kissat/trail.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/trail.c -o src/sat/kissat/trail.o -> ABC: `` Compiling: /src/sat/kissat/transitive.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/transitive.c -o src/sat/kissat/transitive.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/utilities.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/utilities.c -o src/sat/kissat/utilities.o +-> ABC: `` Compiling: /src/sat/kissat/vivify.c +-> ABC: `` Compiling: /src/sat/kissat/vector.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vivify.c -o src/sat/kissat/vivify.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c -o src/sat/kissat/vector.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/walk.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c -o src/sat/kissat/walk.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/sweep.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/substitute.c:4: + from src/sat/kissat/tiers.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -23048,17 +23305,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/tiers.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/sweep.c:3: @@ -23159,218 +23405,16 @@ src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/watch.h:49:16: note: declared here - 49 | typedef vector watches; - | ^~~~~~~ -In file included from src/sat/kissat/internal.h:6: -src/sat/kissat/assign.h:15:25: note: declared here - 15 | typedef struct assigned assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] - 118 | flags *flags; - | ^~~~~ -src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' - 118 | flags *flags; - | ^~~~~ -src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] - 186 | averages averages[2]; - | ^~~~~~~~ -src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' - 186 | averages averages[2]; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:7: -src/sat/kissat/averages.h:11:25: note: declared here - 11 | typedef struct averages averages; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:13: -src/sat/kissat/flags.h:9:22: note: declared here - 9 | typedef struct flags flags; - | ^~~~~ -src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] - 123 | phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' - 123 | phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] - 188 | reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' - 188 | reluctant reluctant; - | ^~~~~~~~~ -In file included from src/sat/kissat/internal.h:22: -src/sat/kissat/phases.h:9:23: note: declared here - 9 | typedef struct phases phases; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:27: -src/sat/kissat/reluctant.h:10:26: note: declared here - 10 | typedef struct reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] - 190 | bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' - 190 | bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:69:23: note: declared here - 69 | typedef STACK (value) eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] - 128 | links *links; - | ^~~~~ -In file included from src/sat/kissat/internal.h:17: -src/sat/kissat/kimits.h:10:23: note: declared here - 10 | typedef struct bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' - 128 | links *links; - | ^~~~~ -src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] - 191 | classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' - 191 | classification classification; - | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:25: -src/sat/kissat/queue.h:12:22: note: declared here - 12 | typedef struct links links; - | ^~~~~ -src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] - 129 | queue queue; - | ^~~~~ -In file included from src/sat/kissat/internal.h:9: -src/sat/kissat/classify.h:16:31: note: declared here - 16 | typedef struct classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' - 129 | queue queue; - | ^~~~~ -src/sat/kissat/queue.h:13:22: note: declared here - 13 | typedef struct queue queue; - | ^~~~~ -src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/kimits.h:12:23: note: declared here - 12 | typedef struct delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] - 138 | frames frames; - | ^~~~~~ -src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' - 138 | frames frames; - | ^~~~~~ -src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/kimits.h:15:24: note: declared here - 15 | typedef struct enabled enabled; - | ^~~~~~~ -In file included from src/sat/kissat/internal.h:15: -src/sat/kissat/frames.h:27:23: note: declared here - 27 | typedef STACK (frame) frames; - | ^~~~~~ -src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/kimits.h:16:24: note: declared here - 16 | typedef struct limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/kimits.h:17:23: note: declared here - 17 | typedef struct limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] - 172 | unsigneds clause; - | ^~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' - 166 | clause conflict; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:10: -src/sat/kissat/clause.h:14:23: note: declared here - 14 | typedef struct clause clause; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ -src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] - 175 | arena arena; - | ^~~~~ -src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' - 175 | arena arena; - | ^~~~~ -In file included from src/sat/kissat/internal.h:4: -src/sat/kissat/arena.h:25:22: note: declared here - 25 | typedef STACK (ward) arena; - | ^~~~~ -src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] - 176 | vectors vectors; - | ^~~~~~~ -src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' - 176 | vectors vectors; - | ^~~~~~~ -In file included from src/sat/kissat/internal.h:33: -src/sat/kissat/vector.h:25:24: note: declared here - 25 | typedef struct vectors vectors; - | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ @@ -23466,10 +23510,12 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/warmup.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/warmup.c -o src/sat/kissat/warmup.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/trail.c:3: + from src/sat/kissat/substitute.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -23479,6 +23525,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -23681,10 +23728,21 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/walk.c:4: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/transitive.c:5: + from src/sat/kissat/trail.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -23694,6 +23752,8 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/watch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/watch.c -o src/sat/kissat/watch.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -23897,8 +23957,8 @@ 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/terminate.h:4, - from src/sat/kissat/terminate.c:1: + from src/sat/kissat/collect.h:4, + from src/sat/kissat/vector.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -23908,6 +23968,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -24110,35 +24171,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/vector.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c -o src/sat/kissat/vector.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/vivify.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vivify.c -o src/sat/kissat/vivify.o --> ABC: `` Compiling: /src/sat/kissat/walk.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c -o src/sat/kissat/walk.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/warmup.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/warmup.c -o src/sat/kissat/warmup.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/watch.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/watch.c -o src/sat/kissat/watch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/walk.c:4: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/vector.c:2: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/transitive.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -24148,6 +24184,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/weaken.c src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -24160,6 +24197,7 @@ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/weaken.c -o src/sat/kissat/weaken.o src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ @@ -24290,78 +24328,9 @@ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ -In file included from src/sat/kissat/internal.h:17: -src/sat/kissat/kimits.h:10:23: note: declared here - 10 | typedef struct bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] - 191 | classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' - 191 | classification classification; - | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:9: -src/sat/kissat/classify.h:16:31: note: declared here - 16 | typedef struct classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/kimits.h:12:23: note: declared here - 12 | typedef struct delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/kimits.h:15:24: note: declared here - 15 | typedef struct enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/kimits.h:16:24: note: declared here - 16 | typedef struct limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/kimits.h:17:23: note: declared here - 17 | typedef struct limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/vivify.c:4: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ +In file included from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/walk.c:5: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -24465,7 +24434,7 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/internal.h:33: +In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ @@ -24488,6 +24457,12 @@ src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/collect.h:4, + from src/sat/kissat/vivify.c:4: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ @@ -24498,6 +24473,12 @@ src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ @@ -24564,12 +24545,69 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/walk.c:5: +In file included from src/sat/kissat/internal.h:17: +src/sat/kissat/kimits.h:10:23: note: declared here + 10 | typedef struct bounds bounds; + | ^~~~~~ +src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] + 191 | classification classification; + | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' + 191 | classification classification; + | ^~~~~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:9: +src/sat/kissat/classify.h:16:31: note: declared here + 16 | typedef struct classification classification; + | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/kimits.h:12:23: note: declared here + 12 | typedef struct delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/kimits.h:15:24: note: declared here + 15 | typedef struct enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/kimits.h:16:24: note: declared here + 16 | typedef struct limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/kimits.h:17:23: note: declared here + 17 | typedef struct limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ @@ -24670,7 +24708,7 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/watch.h:7: +In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ @@ -24716,6 +24754,7 @@ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/sat/cadical/cadicalSolver.c src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ @@ -24753,6 +24792,7 @@ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalSolver.c -o src/sat/cadical/cadicalSolver.o src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ @@ -24769,6 +24809,11 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/cadical/cadicalTest.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalTest.c -o src/sat/cadical/cadicalTest.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/vivify.c:157:13: warning: declaration of 'abc::countrefs abc::vivifier::countrefs' changes meaning of 'countrefs' [-Wchanges-meaning] 157 | countrefs countrefs; | ^~~~~~~~~ @@ -24778,8 +24823,6 @@ src/sat/kissat/vivify.c:150:26: note: declared here 150 | typedef STACK (countref) countrefs; | ^~~~~~~~~ --> ABC: `` Compiling: /src/sat/kissat/weaken.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/weaken.c -o src/sat/kissat/weaken.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/warmup.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -24794,7 +24837,6 @@ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ @@ -24994,9 +25036,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/cadical/cadicalSolver.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalSolver.c -o src/sat/cadical/cadicalSolver.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -25010,8 +25049,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ --> ABC: `` Compiling: /src/sat/cadical/cadicalTest.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalTest.c -o src/sat/cadical/cadicalTest.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -25214,7 +25251,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -25436,6 +25472,18 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/bdc/bdcDec.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o +-> ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/dec/decAbc.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/bdc/bdcTable.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/cadical/cadical_kitten.c:198:14: warning: declaration of 'abc::statistics abc::cadical_kitten::statistics' changes meaning of 'statistics' [-Wchanges-meaning] 198 | statistics statistics; | ^~~~~~~~~~ @@ -25445,11 +25493,6 @@ src/sat/cadical/cadical_kitten.c:112:27: note: declared here 112 | typedef struct statistics statistics; | ^~~~~~~~~~ --> ABC: `` Compiling: /src/bool/bdc/bdcDec.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o src/sat/cadical/cadical_kitten.c: In function 'int abc::compute_prime_implicant_for(cadical_kitten*, unsigned int)': src/sat/cadical/cadical_kitten.c:2549:22: warning: unused variable 'ref' [-Wunused-variable] 2549 | const unsigned ref = vars[idx].reason; @@ -25457,13 +25500,6 @@ src/sat/cadical/cadical_kitten.c:2528:16: warning: unused variable 'ignoring' [-Wunused-variable] 2528 | const bool ignoring = i; | ^~~~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/bdc/bdcTable.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/dec/decAbc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decFactor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25472,40 +25508,25 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decPrint.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, - from /build/reproducible-path/yosys-0.52/abc/src/aig/aig/aig.h:34, - from /build/reproducible-path/yosys-0.52/abc/src/aig/saig/saig.h:29, - from src/sat/bmc/bmc.h:29, - from src/sat/bmc/bmcMaj.c:21: -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)' at src/sat/bmc/bmcMaj.c:3259:24: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)': -src/sat/bmc/bmcMaj.c:3248:10: note: 'Entry' declared here - 3248 | word Entry, Truths[100] = { 0x96, 0xE8 }; - | ^~~~~ -> ABC: `` Compiling: /src/bool/dec/decUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c -o src/bool/dec/decUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c -o src/bool/kit/kitAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitBdd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c -o src/bool/kit/kitBdd.o --> ABC: `` Compiling: /src/bool/kit/kitCloud.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/kit/kitCloud.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c -o src/bool/kit/kitCloud.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/cloud.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c -o src/bool/kit/cloud.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c -o src/bool/kit/kitDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitFactor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c -o src/bool/kit/kitFactor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25519,31 +25540,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c -o src/bool/kit/kitIsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitPla.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitSop.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c -o src/bool/kit/kitSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3863:24: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': -src/sat/bmc/bmcMaj.c:3861:10: note: 'Entry' declared here - 3861 | word Entry; int i; - | ^~~~~ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3866:24: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': -src/sat/bmc/bmcMaj.c:3861:10: note: 'Entry' declared here - 3861 | word Entry; int i; - | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c -o src/bool/kit/kitTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25552,10 +25553,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckyFast16.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c -o src/bool/lucky/luckyFast16.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckyFast6.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c -o src/bool/lucky/luckyFast6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckyRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c -o src/bool/lucky/luckyRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25573,67 +25574,102 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rsb/rsbMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c -o src/bool/rsb/rsbMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rpo/rpo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c -o src/bool/rpo/rpo.o -> ABC: `` Compiling: /src/proof/pdr/pdrCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c -o src/proof/pdr/pdrCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c -o src/proof/pdr/pdrCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrIncr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c -o src/proof/pdr/pdrIncr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrInv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c -o src/proof/pdr/pdrInv.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -o src/proof/pdr/pdrSat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, + from /build/reproducible-path/yosys-0.52/abc/src/aig/aig/aig.h:34, + from /build/reproducible-path/yosys-0.52/abc/src/aig/saig/saig.h:29, + from src/sat/bmc/bmc.h:29, + from src/sat/bmc/bmcMaj.c:21: +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)' at src/sat/bmc/bmcMaj.c:3259:24: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)': +src/sat/bmc/bmcMaj.c:3248:10: note: 'Entry' declared here + 3248 | word Entry, Truths[100] = { 0x96, 0xE8 }; + | ^~~~~ -> ABC: `` Compiling: /src/proof/pdr/pdrTsim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c -o src/proof/pdr/pdrTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/bool/kit/kitDsd.c:21: -In function 'abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)', - inlined from 'abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)' at src/bool/kit/kitDsd.c:1779:52: -src/bool/kit/kit.h:156:203: warning: '*_54' may be used uninitialized [-Wmaybe-uninitialized] - 156 | static inline unsigned Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit ) { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; } - | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/proof/pdr/pdrTsim2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c -o src/proof/pdr/pdrTsim2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrTsim3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c -o src/proof/pdr/pdrTsim3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrUtil.c --> ABC: `` Compiling: /src/proof/abs/absDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c -o src/proof/pdr/pdrUtil.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c -o src/proof/abs/absDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/abs/absDup.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c -o src/proof/abs/absDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absGla.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c -o src/proof/abs/absGla.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/bool/kit/kitDsd.c:21: +In function 'abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)', + inlined from 'abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)' at src/bool/kit/kitDsd.c:1779:52: +src/bool/kit/kit.h:156:203: warning: '*_54' may be used uninitialized [-Wmaybe-uninitialized] + 156 | static inline unsigned Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit ) { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; } + | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/proof/abs/absGlaOld.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c -o src/proof/abs/absGlaOld.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absIter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c -o src/proof/abs/absIter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3863:24: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': +src/sat/bmc/bmcMaj.c:3861:10: note: 'Entry' declared here + 3861 | word Entry; int i; + | ^~~~~ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3866:24: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': +src/sat/bmc/bmcMaj.c:3861:10: note: 'Entry' declared here + 3861 | word Entry; int i; + | ^~~~~ -> ABC: `` Compiling: /src/proof/abs/absOldCex.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c -o src/proof/abs/absOldCex.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldRef.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -o src/proof/abs/absOldRef.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c -o src/proof/abs/absOldCex.o -> ABC: `` Compiling: /src/proof/abs/absOldSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c -o src/proof/abs/absOldSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c -o src/proof/abs/absOldSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25642,16 +25678,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absPth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c -o src/proof/abs/absPth.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRef.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c -o src/proof/abs/absRef.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRefSelect.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c -o src/proof/abs/absRefSelect.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRpm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c -o src/proof/abs/absRpm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRpmOld.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c -o src/proof/abs/absRpmOld.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25663,52 +25699,46 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/liveness.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c -o src/proof/live/liveness.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/liveness_sim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c -o src/proof/live/liveness_sim.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/ltl_parser.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c -o src/proof/live/ltl_parser.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/kliveness.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c -o src/proof/live/kliveness.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/monotone.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c -o src/proof/live/monotone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/disjunctiveMonotone.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c -o src/proof/live/disjunctiveMonotone.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/arenaViolation.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c -o src/proof/live/arenaViolation.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/kLiveConstraints.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c -o src/proof/live/kLiveConstraints.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/combination.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c -o src/proof/live/combination.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscClass.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c -o src/proof/ssc/sscClass.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -o src/proof/ssc/sscCore.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c -o src/proof/ssc/sscSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c -o src/proof/ssc/sscSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c -o src/proof/ssc/sscUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/proof/int/intCheck.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c -o src/proof/int/intCheck.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/proof/int/intContain.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/proof/abs/absGlaOld.c: In function 'abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)': src/proof/abs/absGlaOld.c:1896:43: warning: 'Status' may be used uninitialized [-Wmaybe-uninitialized] 1896 | if ( p->pPars->fVerbose && Status == -1 ) @@ -25716,42 +25746,48 @@ src/proof/abs/absGlaOld.c:1645:31: note: 'Status' declared here 1645 | int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1; | ^~~~~~ +-> ABC: `` Compiling: /src/proof/int/intCheck.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c -o src/proof/int/intCheck.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/int/intContain.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c -o src/proof/int/intCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCtrex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c -o src/proof/int/intCtrex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/proof/int/intDup.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c -o src/proof/int/intDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intFrames.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c -o src/proof/int/intFrames.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/int/intDup.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c -o src/proof/int/intDup.o -> ABC: `` Compiling: /src/proof/int/intInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c -o src/proof/int/intInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intM114.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c -o src/proof/int/intM114.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intMan.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c -o src/proof/int/intMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intUtil.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c -o src/proof/int/intMan.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c -o src/proof/int/intUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c -o src/proof/cec/cecCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecChoice.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c -o src/proof/cec/cecChoice.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecClass.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c -o src/proof/cec/cecClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c -o src/proof/cec/cecCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCorr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c -o src/proof/cec/cecCorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25762,12 +25798,12 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -o src/proof/cec/cecMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecPat.c --> ABC: `` Compiling: /src/proof/cec/cecProve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -o src/proof/cec/cecPat.o +-> ABC: `` Compiling: /src/proof/cec/cecProve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecProve.c -o src/proof/cec/cecProve.o -> ABC: `` Compiling: /src/proof/cec/cecSat.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG.c @@ -25778,10 +25814,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c -o src/proof/cec/cecSatG3.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c -o src/proof/cec/cecSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c -o src/proof/cec/cecSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25795,11 +25831,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c -o src/proof/cec/cecSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSynth.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -o src/proof/cec/cecSynth.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSweep.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -o src/proof/cec/cecSynth.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c -o src/proof/cec/cecSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c -o src/proof/acec/acecCl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25811,28 +25847,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecBo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c -o src/proof/acec/acecBo.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecRe.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c -o src/proof/acec/acecRe.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPa.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c -o src/proof/acec/acecPa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:31, - from /build/reproducible-path/yosys-0.52/abc/src/aig/gia/gia.h:34, - from src/proof/acec/acecInt.h:29, - from src/proof/acec/acecCl.c:21: -In function 'abc::Vec_IntSize(abc::Vec_Int_t_*)', - inlined from 'abc::Acec_DetectAdditional(abc::Gia_Man_t_*, int)' at src/proof/acec/acecCl.c:330:51: -/build/reproducible-path/yosys-0.52/abc/src/misc/vec/vecInt.h:398:15: warning: pointer used after 'free' [-Wuse-after-free] - 398 | return p->nSize; - | ^~~~~ -In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29: -In function 'abc::Vec_IntFree(abc::Vec_Int_t_*)', - inlined from 'abc::Acec_DetectAdditional(abc::Gia_Man_t_*, int)' at src/proof/acec/acecCl.c:325:20: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:267:48: note: call to 'free' here - 267 | #define ABC_FREE(obj) ((obj) ? (free((char *) (obj)), (obj) = 0) : 0) - | ~~~~^~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/proof/acec/acecPo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c -o src/proof/acec/acecPo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25848,15 +25869,30 @@ -> ABC: `` Compiling: /src/proof/acec/acecMult.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c -o src/proof/acec/acecMult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:31, + from /build/reproducible-path/yosys-0.52/abc/src/aig/gia/gia.h:34, + from src/proof/acec/acecInt.h:29, + from src/proof/acec/acecCl.c:21: +In function 'abc::Vec_IntSize(abc::Vec_Int_t_*)', + inlined from 'abc::Acec_DetectAdditional(abc::Gia_Man_t_*, int)' at src/proof/acec/acecCl.c:330:51: +/build/reproducible-path/yosys-0.52/abc/src/misc/vec/vecInt.h:398:15: warning: pointer used after 'free' [-Wuse-after-free] + 398 | return p->nSize; + | ^~~~~ +In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29: +In function 'abc::Vec_IntFree(abc::Vec_Int_t_*)', + inlined from 'abc::Acec_DetectAdditional(abc::Gia_Man_t_*, int)' at src/proof/acec/acecCl.c:325:20: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:267:48: note: call to 'free' here + 267 | #define ABC_FREE(obj) ((obj) ? (free((char *) (obj)), (obj) = 0) : 0) + | ~~~~^~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/proof/acec/acecNorm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -o src/proof/acec/acecNorm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecOrder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c -o src/proof/acec/acecOrder.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPolyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c -o src/proof/acec/acecPolyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecSt.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c -o src/proof/acec/acecSt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25867,11 +25903,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c -o src/proof/acec/acecUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acec2Mult.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c -o src/proof/acec/acec2Mult.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecXor.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c -o src/proof/acec/acec2Mult.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c -o src/proof/acec/acecXor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c -o src/proof/dch/dchAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25882,14 +25918,14 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c -o src/proof/dch/dchClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchCnf.c --> ABC: `` Compiling: /src/proof/dch/dchCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c -o src/proof/dch/dchCnf.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -o src/proof/dch/dchCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/dch/dchCore.c -> ABC: `` Compiling: /src/proof/dch/dchMan.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -o src/proof/dch/dchCore.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c -o src/proof/dch/dchMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c -o src/proof/dch/dchSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25919,94 +25955,94 @@ -> ABC: `` Compiling: /src/proof/fraig/fraigMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -o src/proof/fraig/fraigMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/proof/fraig/fraigNode.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/fraig/fraigNode.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -o src/proof/fraig/fraigNode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigPrime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c -o src/proof/fraig/fraigPrime.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c -o src/proof/fraig/fraigSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -o src/proof/fraig/fraigUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigVec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c -o src/proof/fraig/fraigVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/proof/fra/fraBmc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -o src/proof/fra/fraBmc.o -> ABC: `` Compiling: /src/proof/fra/fraCec.c +-> ABC: `` Compiling: /src/proof/fra/fraBmc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c -o src/proof/fra/fraCec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClass.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -o src/proof/fra/fraBmc.o -> ABC: `` Compiling: /src/proof/fra/fraClau.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c -o src/proof/fra/fraClau.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/proof/fra/fraClaus.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c -o src/proof/fra/fraClaus.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c -o src/proof/fra/fraCnf.o +-> ABC: `` Compiling: /src/proof/fra/fraClaus.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c -o src/proof/fra/fraClaus.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c -o src/proof/fra/fraCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraHot.c --> ABC: `` Compiling: /src/proof/fra/fraImp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c -o src/proof/fra/fraHot.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -o src/proof/fra/fraImp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/fra/fraImp.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -o src/proof/fra/fraImp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraInd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c -o src/proof/fra/fraInd.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraIndVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c -o src/proof/fra/fraIndVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraLcr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c -o src/proof/fra/fraLcr.o -> ABC: `` Compiling: /src/proof/fra/fraMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c -o src/proof/fra/fraMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraPart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c -o src/proof/fra/fraPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c -o src/proof/fra/fraSat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/proof/fra/fraSim.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -o src/proof/fra/fraSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/fra/fraSim.c -> ABC: `` Compiling: /src/proof/ssw/sswAig.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -o src/proof/fra/fraSim.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c -o src/proof/ssw/sswAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswBmc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c -o src/proof/ssw/sswBmc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswClass.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c -o src/proof/ssw/sswClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswCnf.c --> ABC: `` Compiling: /src/proof/ssw/sswConstr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c -o src/proof/ssw/sswCnf.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c -o src/proof/ssw/sswConstr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/ssw/sswConstr.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c -o src/proof/ssw/sswConstr.o -> ABC: `` Compiling: /src/proof/ssw/sswCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c -o src/proof/ssw/sswCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswDyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c -o src/proof/ssw/sswDyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26024,79 +26060,79 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c -o src/proof/ssw/sswPart.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPairs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -o src/proof/ssw/sswPairs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswRarity.c --> ABC: `` Compiling: /src/proof/ssw/sswSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c -o src/proof/ssw/sswRarity.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c -o src/proof/ssw/sswSat.o --> ABC: `` Compiling: /src/proof/ssw/sswSemi.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c -o src/proof/ssw/sswSemi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/ssw/sswSat.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c -o src/proof/ssw/sswSat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/ssw/sswSemi.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c -o src/proof/ssw/sswSemi.o -> ABC: `` Compiling: /src/proof/ssw/sswSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c -o src/proof/ssw/sswSim.o --> ABC: `` Compiling: /src/proof/ssw/sswSimSat.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c -o src/proof/ssw/sswSimSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/ssw/sswSimSat.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c -o src/proof/ssw/sswSimSat.o -> ABC: `` Compiling: /src/proof/ssw/sswSweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c -o src/proof/ssw/sswSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswUnique.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c -o src/proof/ssw/sswUnique.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -o src/aig/aig/aigCheck.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c -o src/aig/aig/aigCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCuts.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c -o src/aig/aig/aigCuts.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigDfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c -o src/aig/aig/aigDfs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigDup.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c -o src/aig/aig/aigDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigFanout.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c -o src/aig/aig/aigFanout.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigFrames.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c -o src/aig/aig/aigFrames.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c -o src/aig/aig/aigInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigJust.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c -o src/aig/aig/aigJust.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c -o src/aig/aig/aigMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c -o src/aig/aig/aigMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMffc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c -o src/aig/aig/aigMffc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -o src/aig/aig/aigObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOrder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPack.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c -o src/aig/aig/aigPack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -o src/aig/aig/aigPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26115,40 +26151,40 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRet.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c -o src/aig/aig/aigRet.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRetF.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c -o src/aig/aig/aigRetF.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c -o src/aig/aig/aigRetF.o -> ABC: `` Compiling: /src/aig/aig/aigScl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c -o src/aig/aig/aigScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigShow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c -o src/aig/aig/aigShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigSplit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c -o src/aig/aig/aigSplit.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c -o src/aig/aig/aigTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/aig/aigTiming.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -o src/aig/aig/aigTiming.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTruth.c +-> ABC: `` Compiling: /src/aig/aig/aigTiming.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c -o src/aig/aig/aigTruth.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -o src/aig/aig/aigTiming.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTsim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c -o src/aig/aig/aigTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigUtil.c --> ABC: `` Compiling: /src/aig/aig/aigWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c -o src/aig/aig/aigUtil.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c -o src/aig/aig/aigWin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/aig/aigWin.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c -o src/aig/aig/aigWin.o -> ABC: `` Compiling: /src/aig/saig/saigCone.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c -o src/aig/saig/saigCone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigConstr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c -o src/aig/saig/saigConstr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26157,12 +26193,12 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigDual.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c -o src/aig/saig/saigDual.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigDup.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c -o src/aig/saig/saigDup.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigInd.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c -o src/aig/saig/saigInd.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c -o src/aig/saig/saigDup.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIoa.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c -o src/aig/saig/saigIoa.o @@ -26175,7 +26211,6 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIsoSlow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c -o src/aig/saig/saigIsoSlow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigMiter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c -o src/aig/saig/saigMiter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26185,15 +26220,16 @@ -> ABC: `` Compiling: /src/aig/saig/saigPhase.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c -o src/aig/saig/saigPhase.o -> ABC: `` Compiling: /src/aig/saig/saigRetFwd.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -o src/aig/saig/saigRetFwd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -o src/aig/saig/saigRetFwd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetMin.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetStep.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c -o src/aig/saig/saigRetStep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigScl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c -o src/aig/saig/saigScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26203,54 +26239,54 @@ -> ABC: `` Compiling: /src/aig/saig/saigSimMv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c -o src/aig/saig/saigSimMv.o -> ABC: `` Compiling: /src/aig/saig/saigSimSeq.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c -o src/aig/saig/saigSimSeq.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigStrSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c -o src/aig/saig/saigStrSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSwitch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c -o src/aig/saig/saigSwitch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSynch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c -o src/aig/saig/saigSynch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigTempor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c -o src/aig/saig/saigTempor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigTrans.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c -o src/aig/saig/saigTrans.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigWnd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c -o src/aig/saig/saigWnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c -o src/aig/gia/giaAig.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAgi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c -o src/aig/gia/giaAgi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAiger.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c -o src/aig/gia/giaAiger.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAigerExt.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c -o src/aig/gia/giaAigerExt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBalAig.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c -o src/aig/gia/giaAigerExt.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c -o src/aig/gia/giaBalAig.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBalLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c -o src/aig/gia/giaBalLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBalMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c -o src/aig/gia/giaBalMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBidec.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c -o src/aig/gia/giaBidec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCCof.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c -o src/aig/gia/giaBidec.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c -o src/aig/gia/giaCCof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c -o src/aig/gia/giaCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26276,7 +26312,22 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c -o src/aig/gia/giaCSat3.o -> ABC: `` Compiling: /src/aig/gia/giaCSatP.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c -o src/aig/gia/giaCSatP.o +-> ABC: `` Compiling: /src/aig/gia/giaCTas.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c -o src/aig/gia/giaCTas.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaCut.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c -o src/aig/gia/giaCut.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaDecs.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c -o src/aig/gia/giaDecs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaDeep.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c -o src/aig/gia/giaDeep.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaDfs.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c -o src/aig/gia/giaDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, @@ -26303,21 +26354,6 @@ /usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaCTas.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c -o src/aig/gia/giaCTas.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaCut.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c -o src/aig/gia/giaCut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaDecs.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c -o src/aig/gia/giaDecs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaDeep.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c -o src/aig/gia/giaDeep.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaDfs.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c -o src/aig/gia/giaDfs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c -o src/aig/gia/giaDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26326,10 +26362,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEmbed.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -o src/aig/gia/giaEmbed.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEnable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c -o src/aig/gia/giaEnable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEquiv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -o src/aig/gia/giaEquiv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26338,13 +26374,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEra2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c -o src/aig/gia/giaEra2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEsop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c -o src/aig/gia/giaEsop.o -> ABC: `` Compiling: /src/aig/gia/giaExist.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -o src/aig/gia/giaExist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFalse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -o src/aig/gia/giaFalse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26385,8 +26421,8 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c -o src/aig/gia/giaIiff.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIso.c --> ABC: `` Compiling: /src/aig/gia/giaIso2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c -o src/aig/gia/giaIso.o +-> ABC: `` Compiling: /src/aig/gia/giaIso2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c -o src/aig/gia/giaIso2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26408,29 +26444,25 @@ -> ABC: `` Compiling: /src/aig/gia/giaMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c -o src/aig/gia/giaMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, - from src/aig/gia/gia.h:34, - from src/aig/gia/giaEquiv.c:21: -src/aig/gia/giaEquiv.c: In function 'abc::Gia_ManTransferEquivs2(abc::Gia_Man_t_*, abc::Gia_Man_t_*)': -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:265:50: warning: argument 1 range [18446744071562067968, 18446744073709551615] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] - 265 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from /usr/include/c++/14/cstdlib:79, - from /usr/include/c++/14/stdlib.h:36, - from src/aig/gia/gia.h:30: -/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here - 675 | extern void *calloc (size_t __nmemb, size_t __size) - | ^~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -o src/aig/gia/giaMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaMini.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaMinLut.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -o src/aig/gia/giaMinLut.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMem.c: In function 'abc::Gia_MmStepStart(int)': src/aig/gia/giaMem.c:484:32: warning: 'MEM[(struct Gia_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] 484 | p->pMap[k] = p->pMems[0]; | ~~~~~~~~~~^ +-> ABC: `` Compiling: /src/aig/gia/giaMinLut2.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -o src/aig/gia/giaMinLut2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:604:32, inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:595:26: @@ -26461,21 +26493,31 @@ src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaMini.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaMinLut.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -o src/aig/gia/giaMinLut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaMinLut2.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -o src/aig/gia/giaMinLut2.o -> ABC: `` Compiling: /src/aig/gia/giaMulFind.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMulFind.c -o src/aig/gia/giaMulFind.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMuxes.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaNf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, + from src/aig/gia/gia.h:34, + from src/aig/gia/giaEquiv.c:21: +src/aig/gia/giaEquiv.c: In function 'abc::Gia_ManTransferEquivs2(abc::Gia_Man_t_*, abc::Gia_Man_t_*)': +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:265:50: warning: argument 1 range [18446744071562067968, 18446744073709551615] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] + 265 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from /usr/include/c++/14/cstdlib:79, + from /usr/include/c++/14/stdlib.h:36, + from src/aig/gia/gia.h:30: +/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here + 675 | extern void *calloc (size_t __nmemb, size_t __size) + | ^~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaOf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: @@ -26606,6 +26648,32 @@ src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaPack.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaPat.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaPat2.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, + from src/aig/gia/gia.h:34, + from src/aig/gia/giaPack.c:21: +In function 'abc::Vec_IntAlloc(int)', + inlined from 'abc::Gia_ManLutCollect(abc::Gia_Man_t_*)' at src/aig/gia/giaPack.c:105:26: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:264:50: warning: argument 1 range [18446744065119617028, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] + 264 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from /usr/include/c++/14/cstdlib:79, + from /usr/include/c++/14/stdlib.h:36, + from src/aig/gia/gia.h:30: +/usr/include/stdlib.h: In function 'abc::Gia_ManLutCollect(abc::Gia_Man_t_*)': +/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here + 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ + | ^~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaPf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); @@ -26699,6 +26767,7 @@ | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1493:20: +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ @@ -26864,6 +26933,9 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaQbf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); @@ -27252,12 +27324,6 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaNf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaOf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); @@ -27505,36 +27571,6 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaPack.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPat.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPat2.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, - from src/aig/gia/gia.h:34, - from src/aig/gia/giaPack.c:21: -In function 'abc::Vec_IntAlloc(int)', - inlined from 'abc::Gia_ManLutCollect(abc::Gia_Man_t_*)' at src/aig/gia/giaPack.c:105:26: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:264:50: warning: argument 1 range [18446744065119617028, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] - 264 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from /usr/include/c++/14/cstdlib:79, - from /usr/include/c++/14/stdlib.h:36, - from src/aig/gia/gia.h:30: -/usr/include/stdlib.h: In function 'abc::Gia_ManLutCollect(abc::Gia_Man_t_*)': -/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here - 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ - | ^~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaPf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaQbf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaReshape1.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -o src/aig/gia/giaReshape1.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27542,22 +27578,8 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -o src/aig/gia/giaReshape2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaResub.c --> ABC: `` Compiling: /src/aig/gia/giaResub2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -o src/aig/gia/giaResub2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaResub3.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -o src/aig/gia/giaResub3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaResub6.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -o src/aig/gia/giaResub6.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaRetime.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaRex.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o In file included from /build/reproducible-path/yosys-0.52/abc/src/sat/bsat/satSolver.h:29, from /build/reproducible-path/yosys-0.52/abc/src/sat/bsat/satStore.h:36, from src/aig/gia/giaOf.c:30: @@ -27568,18 +27590,32 @@ src/aig/gia/giaOf.c:1307:9: note: 'Delays' declared here 1307 | int Delays[6], Perm[6] = {0, 1, 2, 3, 4, 5}; | ^~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaResub2.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -o src/aig/gia/giaResub2.o +-> ABC: `` Compiling: /src/aig/gia/giaResub3.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -o src/aig/gia/giaResub3.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaResub6.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -o src/aig/gia/giaResub6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaRetime.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaRex.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o -> ABC: `` Compiling: /src/aig/gia/giaSatEdge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c -o src/aig/gia/giaSatEdge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSatLE.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c -o src/aig/gia/giaSatLE.o -> ABC: `` Compiling: /src/aig/gia/giaSatLut.c +-> ABC: `` Compiling: /src/aig/gia/giaSatMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c -o src/aig/gia/giaSatLut.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c -o src/aig/gia/giaSatMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSatMap.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c -o src/aig/gia/giaSatMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSatoko.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c -o src/aig/gia/giaSatoko.o @@ -27595,8 +27631,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaScript.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c -o src/aig/gia/giaScript.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShow.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c -o src/aig/gia/giaShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShrink.c @@ -27634,13 +27670,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaStoch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c -o src/aig/gia/giaStoch.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaStr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c -o src/aig/gia/giaStr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSupMin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c -o src/aig/gia/giaSupMin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSupp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c -o src/aig/gia/giaSupp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27649,19 +27685,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSweeper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSwitch.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaTim.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -o src/aig/gia/giaTim.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaTis.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaStg.c:21: @@ -27678,24 +27705,33 @@ /usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaSwitch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o +-> ABC: `` Compiling: /src/aig/gia/giaTim.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -o src/aig/gia/giaTim.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaTis.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTranStoch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c -o src/aig/gia/giaTranStoch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c -o src/aig/gia/giaTruth.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTsim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c -o src/aig/gia/giaTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaUnate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c -o src/aig/gia/giaUnate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c -o src/aig/gia/giaUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBound.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBound.c -o src/aig/gia/giaBound.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ioa/ioaReadAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c -o src/aig/ioa/ioaReadAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27704,31 +27740,31 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ioa/ioaUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -o src/aig/ioa/ioaUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyBalance.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c -o src/aig/ivy/ivyBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c -o src/aig/ivy/ivyCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c -o src/aig/ivy/ivyCheck.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c -o src/aig/ivy/ivyCut.o -> ABC: `` Compiling: /src/aig/ivy/ivyCutTrav.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c -o src/aig/ivy/ivyCutTrav.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyDfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c -o src/aig/ivy/ivyDfs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c -o src/aig/ivy/ivyDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyFanout.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c -o src/aig/ivy/ivyFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyFastMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c -o src/aig/ivy/ivyFastMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27746,6 +27782,7 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyMulti.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -o src/aig/ivy/ivyMulti.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapNodeArea2(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)': src/aig/ivy/ivyFastMap.c:400:25: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] 400 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); @@ -27759,9 +27796,11 @@ src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -o src/aig/ivy/ivyObj.o +-> ABC: `` Compiling: /src/aig/ivy/ivyOper.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -o src/aig/ivy/ivyOper.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: @@ -27781,15 +27820,12 @@ src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ --> ABC: `` Compiling: /src/aig/ivy/ivyOper.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -o src/aig/ivy/ivyOper.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyResyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c -o src/aig/ivy/ivyResyn.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyRwr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -o src/aig/ivy/ivyRwr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivySeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c -o src/aig/ivy/ivySeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27798,13 +27834,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -o src/aig/ivy/ivyTable.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c -o src/aig/ivy/ivyUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopBalance.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c -o src/aig/hop/hopBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c -o src/aig/hop/hopCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27812,70 +27848,47 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c -o src/aig/hop/hopDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopMan.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c -o src/aig/hop/hopMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopMem.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c -o src/aig/hop/hopMan.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c -o src/aig/hop/hopMem.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -o src/aig/hop/hopObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopOper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c -o src/aig/hop/hopOper.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c -o src/aig/hop/hopTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, - from src/aig/gia/gia.h:34, - from src/aig/gia/giaSimBase.c:21: -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3596:24: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': -src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here - 3586 | word Entry; int i; - | ^~~~~ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3599:24: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': -src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here - 3586 | word Entry; int i; - | ^~~~~ -> ABC: `` Compiling: /src/aig/hop/hopUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c -o src/aig/hop/hopUtil.o --> ABC: `` Compiling: /src/bdd/cudd/cuddAPI.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c -o src/bdd/cudd/cuddAPI.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddAPI.c -> ABC: `` Compiling: /src/bdd/cudd/cuddAddAbs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c -o src/bdd/cudd/cuddAddAbs.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c -o src/bdd/cudd/cuddAPI.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddApply.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c -o src/bdd/cudd/cuddAddApply.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddFind.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c -o src/bdd/cudd/cuddAddFind.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c --> ABC: `` Compiling: /src/bdd/cudd/cuddAddIte.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c -o src/bdd/cudd/cuddAddInv.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddAddIte.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c -o src/bdd/cudd/cuddAddIte.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddNeg.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c -o src/bdd/cudd/cuddAddNeg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddWalsh.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c -o src/bdd/cudd/cuddAddWalsh.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27884,19 +27897,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAnneal.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c -o src/bdd/cudd/cuddAnneal.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddApa.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -o src/bdd/cudd/cuddApa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddApprox.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c -o src/bdd/cudd/cuddApprox.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddAbs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c -o src/bdd/cudd/cuddBddAbs.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddCorr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c -o src/bdd/cudd/cuddBddCorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddIte.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c -o src/bdd/cudd/cuddBddIte.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27905,25 +27918,25 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCache.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c -o src/bdd/cudd/cuddCache.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -o src/bdd/cudd/cuddCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddClip.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c -o src/bdd/cudd/cuddClip.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c -o src/bdd/cudd/cuddCof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddDecomp.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -o src/bdd/cudd/cuddCompose.o +-> ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c -o src/bdd/cudd/cuddDecomp.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -o src/bdd/cudd/cuddCompose.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -o src/bdd/cudd/cuddEssent.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddExact.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c -o src/bdd/cudd/cuddExact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27935,34 +27948,34 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGenetic.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c -o src/bdd/cudd/cuddGenetic.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGroup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c -o src/bdd/cudd/cuddGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddHarwell.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c -o src/bdd/cudd/cuddHarwell.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddInit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c -o src/bdd/cudd/cuddInit.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddInteract.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c -o src/bdd/cudd/cuddInteract.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLCache.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c -o src/bdd/cudd/cuddLCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c -o src/bdd/cudd/cuddLCache.o -> ABC: `` Compiling: /src/bdd/cudd/cuddLevelQ.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c -o src/bdd/cudd/cuddLevelQ.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLinear.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c -o src/bdd/cudd/cuddLinear.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLiteral.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c -o src/bdd/cudd/cuddLiteral.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddMatMult.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c -o src/bdd/cudd/cuddMatMult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddPriority.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c -o src/bdd/cudd/cuddPriority.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27970,47 +27983,70 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c -o src/bdd/cudd/cuddRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddRef.c --> ABC: `` Compiling: /src/bdd/cudd/cuddReorder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c -o src/bdd/cudd/cuddRef.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c -o src/bdd/cudd/cuddReorder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddReorder.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c -o src/bdd/cudd/cuddReorder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c -o src/bdd/cudd/cuddSat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSign.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c -o src/bdd/cudd/cuddSign.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSolve.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c -o src/bdd/cudd/cuddSolve.o -> ABC: `` Compiling: /src/bdd/cudd/cuddSplit.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c -o src/bdd/cudd/cuddSolve.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c -o src/bdd/cudd/cuddSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetHB.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c -o src/bdd/cudd/cuddSubsetHB.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, + from src/aig/gia/gia.h:34, + from src/aig/gia/giaSimBase.c:21: +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3596:24: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': +src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here + 3586 | word Entry; int i; + | ^~~~~ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3599:24: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': +src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here + 3586 | word Entry; int i; + | ^~~~~ -> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetSP.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c -o src/bdd/cudd/cuddSubsetSP.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -o src/bdd/cudd/cuddSymmetry.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c -o src/bdd/cudd/cuddTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c -o src/bdd/cudd/cuddUtil.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddWindow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c -o src/bdd/cudd/cuddWindow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -o src/bdd/cudd/cuddZddGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -28019,52 +28055,52 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddLin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c -o src/bdd/cudd/cuddZddLin.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddMisc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c -o src/bdd/cudd/cuddZddMisc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddPort.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c -o src/bdd/cudd/cuddZddPort.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddReord.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c -o src/bdd/cudd/cuddZddReord.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddSetop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c -o src/bdd/cudd/cuddZddSetop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddSymm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c -o src/bdd/cudd/cuddZddSymm.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c -o src/bdd/cudd/cuddZddUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddAuto.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c -o src/bdd/extrab/extraBddAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddCas.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c -o src/bdd/extrab/extraBddCas.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/extrab/extraBddImage.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c -o src/bdd/extrab/extraBddImage.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/extrab/extraBddMaxMin.c -> ABC: `` Compiling: /src/bdd/extrab/extraBddKmap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c -o src/bdd/extrab/extraBddKmap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/extrab/extraBddMaxMin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c -o src/bdd/extrab/extraBddMaxMin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/extrab/extraBddImage.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c -o src/bdd/extrab/extraBddImage.o -> ABC: `` Compiling: /src/bdd/extrab/extraBddMisc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c -o src/bdd/extrab/extraBddMisc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddSet.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c -o src/bdd/extrab/extraBddSet.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddSymm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c -o src/bdd/extrab/extraBddSymm.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddThresh.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c -o src/bdd/extrab/extraBddThresh.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c -o src/bdd/extrab/extraBddTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -28073,25 +28109,25 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c -o src/bdd/dsd/dsdApi.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c -o src/bdd/dsd/dsdCheck.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdLocal.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c -o src/bdd/dsd/dsdLocal.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c -o src/bdd/dsd/dsdMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdProc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c -o src/bdd/dsd/dsdProc.o -> ABC: `` Compiling: /src/bdd/dsd/dsdTree.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c -o src/bdd/dsd/dsdTree.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/epd/epd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c -o src/bdd/epd/epd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/mtr/mtrBasic.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c -o src/bdd/mtr/mtrBasic.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -28102,61 +28138,61 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c -o src/bdd/reo/reoApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoCore.c --> ABC: `` Compiling: /src/bdd/reo/reoProfile.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c -o src/bdd/reo/reoCore.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -o src/bdd/reo/reoProfile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/reo/reoProfile.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -o src/bdd/reo/reoProfile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoShuffle.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c -o src/bdd/reo/reoShuffle.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoSift.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c -o src/bdd/reo/reoSift.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoSwap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c -o src/bdd/reo/reoSwap.o --> ABC: `` Compiling: /src/bdd/reo/reoTransfer.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -o src/bdd/reo/reoTransfer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/reo/reoTransfer.c -> ABC: `` Compiling: /src/bdd/reo/reoUnits.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -o src/bdd/reo/reoTransfer.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c -o src/bdd/reo/reoUnits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cas/casCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c -o src/bdd/cas/casCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cas/casDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c -o src/bdd/cas/casDec.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrCex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c -o src/bdd/bbr/bbrCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrImage.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c -o src/bdd/bbr/bbrImage.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrNtbdd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c -o src/bdd/bbr/bbrNtbdd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrReach.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c -o src/bdd/bbr/bbrReach.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Cluster.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c -o src/bdd/bbr/bbrReach.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c -o src/bdd/llb/llb1Cluster.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Constr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c -o src/bdd/llb/llb1Constr.o -> ABC: `` Compiling: /src/bdd/llb/llb1Core.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o -> ABC: `` Compiling: /src/bdd/llb/llb1Group.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c -o src/bdd/llb/llb1Group.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb1Man.c -> ABC: `` Compiling: /src/bdd/llb/llb1Hint.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c -o src/bdd/llb/llb1Man.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c -o src/bdd/llb/llb1Hint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb1Man.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c -o src/bdd/llb/llb1Man.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Matrix.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c -o src/bdd/llb/llb1Matrix.o @@ -28165,11 +28201,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c -o src/bdd/llb/llb1Pivot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Reach.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c -o src/bdd/llb/llb1Reach.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Sched.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c -o src/bdd/llb/llb1Reach.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c -o src/bdd/llb/llb1Sched.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Bad.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c -o src/bdd/llb/llb2Bad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -28184,16 +28220,28 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Flow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Image.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb3Image.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb3Nonlin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c -o src/bdd/llb/llb3Nonlin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb4Cex.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c -o src/bdd/llb/llb4Cex.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb4Image.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -o src/bdd/llb/llb4Image.o +-> ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/llb/llb2Dump.c: In function 'abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)': src/bdd/llb/llb2Dump.c:48:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 48 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -28216,18 +28264,6 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/bdd/llb/llb4Cex.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c -o src/bdd/llb/llb4Cex.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb4Image.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -o src/bdd/llb/llb4Image.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Building binary: abc g++ -o abc src/map/if/acd/ac_wrapper.o src/opt/rar/rewire_miaig.o src/opt/eslim/relationGeneration.o src/opt/eslim/eSLIM.o src/sat/glucose/AbcGlucose.o src/sat/glucose/AbcGlucoseCmd.o src/sat/glucose/Glucose.o src/sat/glucose/Options.o src/sat/glucose/SimpSolver.o src/sat/glucose/System.o src/sat/glucose2/AbcGlucose2.o src/sat/glucose2/AbcGlucoseCmd2.o src/sat/glucose2/Glucose2.o src/sat/glucose2/Options2.o src/sat/glucose2/SimpSolver2.o src/sat/glucose2/System2.o src/sat/cadical/cadical_analyze.o src/sat/cadical/cadical_arena.o src/sat/cadical/cadical_assume.o src/sat/cadical/cadical_averages.o src/sat/cadical/cadical_backtrack.o src/sat/cadical/cadical_backward.o src/sat/cadical/cadical_bins.o src/sat/cadical/cadical_block.o src/sat/cadical/cadical_ccadical.o src/sat/cadical/cadical_checker.o src/sat/cadical/cadical_clause.o src/sat/cadical/cadical_collect.o src/sat/cadical/cadical_compact.o src/sat/cadical/cadical_condition.o src/sat/cadical/cadical_config.o src/sat/cadical/cadical_congruence.o src/sat/cadical/cadical_constrain.o src/sat/cadical/cadical_contract.o src/sat/cadical/cadical_cover.o src/sat/cadical/cadical_decide.o src/sat/cadical/cadical_decompose.o src/sat/cadical/cadical_deduplicate.o src/sat/cadical/cadical_definition.o src/sat/cadical/cadical_drattracer.o src/sat/cadical/cadical_elim.o src/sat/cadical/cadical_elimfast.o src/sat/cadical/cadical_ema.o src/sat/cadical/cadical_extend.o src/sat/cadical/cadical_external.o src/sat/cadical/cadical_external_propagate.o src/sat/cadical/cadical_factor.o src/sat/cadical/cadical_file.o src/sat/cadical/cadical_flags.o src/sat/cadical/cadical_flip.o src/sat/cadical/cadical_format.o src/sat/cadical/cadical_frattracer.o src/sat/cadical/cadical_gates.o src/sat/cadical/cadical_idruptracer.o src/sat/cadical/cadical_instantiate.o src/sat/cadical/cadical_internal.o src/sat/cadical/cadical_ipasir.o src/sat/cadical/cadical_lidruptracer.o src/sat/cadical/cadical_limit.o src/sat/cadical/cadical_logging.o src/sat/cadical/cadical_lookahead.o src/sat/cadical/cadical_lratchecker.o src/sat/cadical/cadical_lrattracer.o src/sat/cadical/cadical_lucky.o src/sat/cadical/cadical_message.o src/sat/cadical/cadical_minimize.o src/sat/cadical/cadical_occs.o src/sat/cadical/cadical_options.o src/sat/cadical/cadical_parse.o src/sat/cadical/cadical_phases.o src/sat/cadical/cadical_probe.o src/sat/cadical/cadical_profile.o src/sat/cadical/cadical_proof.o src/sat/cadical/cadical_propagate.o src/sat/cadical/cadical_queue.o src/sat/cadical/cadical_random.o src/sat/cadical/cadical_reap.o src/sat/cadical/cadical_reduce.o src/sat/cadical/cadical_rephase.o src/sat/cadical/cadical_report.o src/sat/cadical/cadical_resources.o src/sat/cadical/cadical_restart.o src/sat/cadical/cadical_restore.o src/sat/cadical/cadical_score.o src/sat/cadical/cadical_shrink.o src/sat/cadical/cadical_signal.o src/sat/cadical/cadical_solution.o src/sat/cadical/cadical_solver.o src/sat/cadical/cadical_stable.o src/sat/cadical/cadical_stats.o src/sat/cadical/cadical_subsume.o src/sat/cadical/cadical_sweep.o src/sat/cadical/cadical_terminal.o src/sat/cadical/cadical_ternary.o src/sat/cadical/cadical_tier.o src/sat/cadical/cadical_transred.o src/sat/cadical/cadical_unstable.o src/sat/cadical/cadical_util.o src/sat/cadical/cadical_var.o src/sat/cadical/cadical_veripbtracer.o src/sat/cadical/cadical_version.o src/sat/cadical/cadical_vivify.o src/sat/cadical/cadical_walk.o src/sat/cadical/cadical_watch.o src/aig/gia/giaRrr.o src/aig/gia/giaTransduction.o src/aig/gia/giaTtopt.o src/base/abc/abcAig.o src/base/abc/abcBarBuf.o src/base/abc/abcBlifMv.o src/base/abc/abcCheck.o src/base/abc/abcDfs.o src/base/abc/abcFanio.o src/base/abc/abcFanOrder.o src/base/abc/abcFunc.o src/base/abc/abcHie.o src/base/abc/abcHieCec.o src/base/abc/abcHieGia.o src/base/abc/abcHieNew.o src/base/abc/abcLatch.o src/base/abc/abcLib.o src/base/abc/abcMinBase.o src/base/abc/abcNames.o src/base/abc/abcNetlist.o src/base/abc/abcNtk.o src/base/abc/abcObj.o src/base/abc/abcRefs.o src/base/abc/abcShow.o src/base/abc/abcSop.o src/base/abc/abcUtil.o src/base/abci/abc.o src/base/abci/abcAttach.o src/base/abci/abcAuto.o src/base/abci/abcBalance.o src/base/abci/abcBidec.o src/base/abci/abcBm.o src/base/abci/abcBmc.o src/base/abci/abcCas.o src/base/abci/abcCascade.o src/base/abci/abcCollapse.o src/base/abci/abcCut.o src/base/abci/abcDar.o src/base/abci/abcDebug.o src/base/abci/abcDec.o src/base/abci/abcDetect.o src/base/abci/abcDress.o src/base/abci/abcDress2.o src/base/abci/abcDress3.o src/base/abci/abcDsd.o src/base/abci/abcEco.o src/base/abci/abcExact.o src/base/abci/abcExtract.o src/base/abci/abcFraig.o src/base/abci/abcFx.o src/base/abci/abcFxu.o src/base/abci/abcGen.o src/base/abci/abcHaig.o src/base/abci/abcIf.o src/base/abci/abcIfif.o src/base/abci/abcIfMux.o src/base/abci/abcIvy.o src/base/abci/abcLog.o src/base/abci/abcLut.o src/base/abci/abcLutmin.o src/base/abci/abcMap.o src/base/abci/abcMerge.o src/base/abci/abcMfs.o src/base/abci/abcMini.o src/base/abci/abcMiter.o src/base/abci/abcMulti.o src/base/abci/abcNtbdd.o src/base/abci/abcNpn.o src/base/abci/abcNpnSave.o src/base/abci/abcOrchestration.o src/base/abci/abcOdc.o src/base/abci/abcOrder.o src/base/abci/abcPart.o src/base/abci/abcPrint.o src/base/abci/abcProve.o src/base/abci/abcQbf.o src/base/abci/abcQuant.o src/base/abci/abcRec3.o src/base/abci/abcReconv.o src/base/abci/abcReach.o src/base/abci/abcRefactor.o src/base/abci/abcRenode.o src/base/abci/abcReorder.o src/base/abci/abcRestruct.o src/base/abci/abcResub.o src/base/abci/abcRewrite.o src/base/abci/abcRpo.o src/base/abci/abcRr.o src/base/abci/abcRunGen.o src/base/abci/abcSat.o src/base/abci/abcSaucy.o src/base/abci/abcScorr.o src/base/abci/abcSense.o src/base/abci/abcSpeedup.o src/base/abci/abcStrash.o src/base/abci/abcSweep.o src/base/abci/abcSymm.o src/base/abci/abcTim.o src/base/abci/abcTiming.o src/base/abci/abcUnate.o src/base/abci/abcUnreach.o src/base/abci/abcVerify.o src/base/abci/abcXsim.o src/base/cmd/cmd.o src/base/cmd/cmdAlias.o src/base/cmd/cmdApi.o src/base/cmd/cmdAuto.o src/base/cmd/cmdFlag.o src/base/cmd/cmdHist.o src/base/cmd/cmdLoad.o src/base/cmd/cmdPlugin.o src/base/cmd/cmdStarter.o src/base/cmd/cmdUtils.o src/base/io/io.o src/base/io/ioJson.o src/base/io/ioReadAiger.o src/base/io/ioReadBaf.o src/base/io/ioReadBblif.o src/base/io/ioReadBench.o src/base/io/ioReadBlif.o src/base/io/ioReadBlifAig.o src/base/io/ioReadBlifMv.o src/base/io/ioReadDsd.o src/base/io/ioReadEdif.o src/base/io/ioReadEqn.o src/base/io/ioReadPla.o src/base/io/ioReadPlaMo.o src/base/io/ioReadVerilog.o src/base/io/ioUtil.o src/base/io/ioWriteAiger.o src/base/io/ioWriteBaf.o src/base/io/ioWriteBblif.o src/base/io/ioWriteBench.o src/base/io/ioWriteBlif.o src/base/io/ioWriteBlifMv.o src/base/io/ioWriteBook.o src/base/io/ioWriteCnf.o src/base/io/ioWriteDot.o src/base/io/ioWriteEqn.o src/base/io/ioWriteEdgelist.o src/base/io/ioWriteGml.o src/base/io/ioWriteHMetis.o src/base/io/ioWriteList.o src/base/io/ioWritePla.o src/base/io/ioWriteVerilog.o src/base/io/ioWriteSmv.o src/base/main/main.o src/base/main/mainFrame.o src/base/main/mainInit.o src/base/main/mainLib.o src/base/main/mainReal.o src/base/main/libSupport.o src/base/main/mainUtils.o src/base/exor/exor.o src/base/exor/exorBits.o src/base/exor/exorCubes.o src/base/exor/exorLink.o src/base/exor/exorList.o src/base/exor/exorUtil.o src/base/ver/verCore.o src/base/ver/verFormula.o src/base/ver/verParse.o src/base/ver/verStream.o src/base/wlc/wlcAbs.o src/base/wlc/wlcAbs2.o src/base/wlc/wlcAbc.o src/base/wlc/wlcPth.o src/base/wlc/wlcBlast.o src/base/wlc/wlcCom.o src/base/wlc/wlcGraft.o src/base/wlc/wlcJson.o src/base/wlc/wlcMem.o src/base/wlc/wlcNdr.o src/base/wlc/wlcNtk.o src/base/wlc/wlcReadSmt.o src/base/wlc/wlcReadVer.o src/base/wlc/wlcSim.o src/base/wlc/wlcShow.o src/base/wlc/wlcStdin.o src/base/wlc/wlcUif.o src/base/wlc/wlcWin.o src/base/wlc/wlcWriteVer.o src/base/wln/wln.o src/base/wln/wlnBlast.o src/base/wln/wlnCom.o src/base/wln/wlnGuide.o src/base/wln/wlnMem.o src/base/wln/wlnNdr.o src/base/wln/wlnNtk.o src/base/wln/wlnObj.o src/base/wln/wlnRead.o src/base/wln/wlnRetime.o src/base/wln/wlnRtl.o src/base/wln/wlnWlc.o src/base/wln/wlnWriteVer.o src/base/acb/acbAbc.o src/base/acb/acbAig.o src/base/acb/acbCom.o src/base/acb/acbFunc.o src/base/acb/acbMfs.o src/base/acb/acbPush.o src/base/acb/acbSets.o src/base/acb/acbTest.o src/base/acb/acbUtil.o src/base/bac/bacBlast.o src/base/bac/bacBac.o src/base/bac/bacCom.o src/base/bac/bacLib.o src/base/bac/bacNtk.o src/base/bac/bacPrsBuild.o src/base/bac/bacPrsTrans.o src/base/bac/bacPtr.o src/base/bac/bacPtrAbc.o src/base/bac/bacReadBlif.o src/base/bac/bacReadSmt.o src/base/bac/bacReadVer.o src/base/bac/bacWriteBlif.o src/base/bac/bacWriteSmt.o src/base/bac/bacWriteVer.o src/base/cba/cbaBlast.o src/base/cba/cbaCba.o src/base/cba/cbaCom.o src/base/cba/cbaNtk.o src/base/cba/cbaReadBlif.o src/base/cba/cbaReadVer.o src/base/cba/cbaWriteBlif.o src/base/cba/cbaWriteVer.o src/base/pla/plaCom.o src/base/pla/plaHash.o src/base/pla/plaMan.o src/base/pla/plaMerge.o src/base/pla/plaSimple.o src/base/pla/plaRead.o src/base/pla/plaWrite.o src/base/test/test.o src/map/mapper/mapper.o src/map/mapper/mapperCanon.o src/map/mapper/mapperCore.o src/map/mapper/mapperCreate.o src/map/mapper/mapperCut.o src/map/mapper/mapperCutUtils.o src/map/mapper/mapperLib.o src/map/mapper/mapperMatch.o src/map/mapper/mapperRefs.o src/map/mapper/mapperSuper.o src/map/mapper/mapperSwitch.o src/map/mapper/mapperTable.o src/map/mapper/mapperTime.o src/map/mapper/mapperTree.o src/map/mapper/mapperTruth.o src/map/mapper/mapperUtils.o src/map/mapper/mapperVec.o src/map/mio/mio.o src/map/mio/mioApi.o src/map/mio/mioFunc.o src/map/mio/mioParse.o src/map/mio/mioRead.o src/map/mio/mioSop.o src/map/mio/mioUtils.o src/map/super/super.o src/map/super/superAnd.o src/map/super/superGate.o src/map/if/ifCom.o src/map/if/ifCache.o src/map/if/ifCore.o src/map/if/ifCut.o src/map/if/ifData2.o src/map/if/ifDec07.o src/map/if/ifDec08.o src/map/if/ifDec10.o src/map/if/ifDec16.o src/map/if/ifDec66.o src/map/if/ifDec75.o src/map/if/ifDelay.o src/map/if/ifDsd.o src/map/if/ifLibBox.o src/map/if/ifLibLut.o src/map/if/ifMan.o src/map/if/ifMap.o src/map/if/ifMatch2.o src/map/if/ifReduce.o src/map/if/ifSat.o src/map/if/ifSelect.o src/map/if/ifSeq.o src/map/if/ifTest.o src/map/if/ifTime.o src/map/if/ifTruth.o src/map/if/ifTune.o src/map/if/ifUtil.o src/map/amap/amapCore.o src/map/amap/amapGraph.o src/map/amap/amapLib.o src/map/amap/amapLiberty.o src/map/amap/amapMan.o src/map/amap/amapMatch.o src/map/amap/amapMerge.o src/map/amap/amapOutput.o src/map/amap/amapParse.o src/map/amap/amapPerm.o src/map/amap/amapRead.o src/map/amap/amapRule.o src/map/amap/amapUniq.o src/map/cov/covBuild.o src/map/cov/covCore.o src/map/cov/covMan.o src/map/cov/covMinEsop.o src/map/cov/covMinMan.o src/map/cov/covMinSop.o src/map/cov/covMinUtil.o src/map/scl/scl.o src/map/scl/sclBuffer.o src/map/scl/sclBufSize.o src/map/scl/sclDnsize.o src/map/scl/sclLiberty.o src/map/scl/sclLibScl.o src/map/scl/sclLibUtil.o src/map/scl/sclLoad.o src/map/scl/sclSize.o src/map/scl/sclUpsize.o src/map/scl/sclUtil.o src/map/mpm/mpmAbc.o src/map/mpm/mpmCore.o src/map/mpm/mpmDsd.o src/map/mpm/mpmGates.o src/map/mpm/mpmLib.o src/map/mpm/mpmMan.o src/map/mpm/mpmMap.o src/map/mpm/mpmMig.o src/map/mpm/mpmPre.o src/map/mpm/mpmTruth.o src/map/mpm/mpmUtil.o src/misc/extra/extraUtilBitMatrix.o src/misc/extra/extraUtilCanon.o src/misc/extra/extraUtilCfs.o src/misc/extra/extraUtilCube.o src/misc/extra/extraUtilDsd.o src/misc/extra/extraUtilEnum.o src/misc/extra/extraUtilFile.o src/misc/extra/extraUtilGen.o src/misc/extra/extraUtilMacc.o src/misc/extra/extraUtilMaj.o src/misc/extra/extraUtilMemory.o src/misc/extra/extraUtilMisc.o src/misc/extra/extraUtilMult.o src/misc/extra/extraUtilPath.o src/misc/extra/extraUtilPerm.o src/misc/extra/extraUtilProgress.o src/misc/extra/extraUtilReader.o src/misc/extra/extraUtilSupp.o src/misc/extra/extraUtilTruth.o src/misc/extra/extraUtilUtil.o src/misc/mvc/mvcApi.o src/misc/mvc/mvcCompare.o src/misc/mvc/mvcContain.o src/misc/mvc/mvcCover.o src/misc/mvc/mvcCube.o src/misc/mvc/mvcDivide.o src/misc/mvc/mvcDivisor.o src/misc/mvc/mvcList.o src/misc/mvc/mvcLits.o src/misc/mvc/mvcMan.o src/misc/mvc/mvcOpAlg.o src/misc/mvc/mvcOpBool.o src/misc/mvc/mvcPrint.o src/misc/mvc/mvcSort.o src/misc/mvc/mvcUtils.o src/misc/st/st.o src/misc/st/stmm.o src/misc/util/utilBridge.o src/misc/util/utilBSet.o src/misc/util/utilCex.o src/misc/util/utilColor.o src/misc/util/utilFile.o src/misc/util/utilIsop.o src/misc/util/utilNam.o src/misc/util/utilPth.o src/misc/util/utilSignal.o src/misc/util/utilSort.o src/misc/nm/nmApi.o src/misc/nm/nmTable.o src/misc/tim/timBox.o src/misc/tim/timDump.o src/misc/tim/timMan.o src/misc/tim/timTime.o src/misc/tim/timTrav.o src/misc/mem/mem.o src/misc/bar/bar.o src/misc/bbl/bblif.o src/misc/parse/parseEqn.o src/misc/parse/parseStack.o src/opt/cut/cutApi.o src/opt/cut/cutCut.o src/opt/cut/cutMan.o src/opt/cut/cutMerge.o src/opt/cut/cutNode.o src/opt/cut/cutOracle.o src/opt/cut/cutPre22.o src/opt/cut/cutSeq.o src/opt/cut/cutTruth.o src/opt/fxu/fxu.o src/opt/fxu/fxuCreate.o src/opt/fxu/fxuHeapD.o src/opt/fxu/fxuHeapS.o src/opt/fxu/fxuList.o src/opt/fxu/fxuMatrix.o src/opt/fxu/fxuPair.o src/opt/fxu/fxuPrint.o src/opt/fxu/fxuReduce.o src/opt/fxu/fxuSelect.o src/opt/fxu/fxuSingle.o src/opt/fxu/fxuUpdate.o src/opt/fxch/Fxch.o src/opt/fxch/FxchDiv.o src/opt/fxch/FxchMan.o src/opt/fxch/FxchSCHashTable.o src/opt/rwr/rwrDec.o src/opt/rwr/rwrEva.o src/opt/rwr/rwrExp.o src/opt/rwr/rwrLib.o src/opt/rwr/rwrMan.o src/opt/rwr/rwrPrint.o src/opt/rwr/rwrUtil.o src/opt/mfs/mfsCore.o src/opt/mfs/mfsDiv.o src/opt/mfs/mfsInter.o src/opt/mfs/mfsMan.o src/opt/mfs/mfsResub.o src/opt/mfs/mfsSat.o src/opt/mfs/mfsStrash.o src/opt/mfs/mfsWin.o src/opt/sim/simMan.o src/opt/sim/simSeq.o src/opt/sim/simSupp.o src/opt/sim/simSwitch.o src/opt/sim/simSym.o src/opt/sim/simSymSat.o src/opt/sim/simSymSim.o src/opt/sim/simSymStr.o src/opt/sim/simUtils.o src/opt/ret/retArea.o src/opt/ret/retCore.o src/opt/ret/retDelay.o src/opt/ret/retFlow.o src/opt/ret/retIncrem.o src/opt/ret/retInit.o src/opt/ret/retLvalue.o src/opt/fret/fretMain.o src/opt/fret/fretFlow.o src/opt/fret/fretInit.o src/opt/fret/fretTime.o src/opt/res/resCore.o src/opt/res/resDivs.o src/opt/res/resFilter.o src/opt/res/resSat.o src/opt/res/resSim.o src/opt/res/resStrash.o src/opt/res/resWin.o src/opt/lpk/lpkCore.o src/opt/lpk/lpkAbcDec.o src/opt/lpk/lpkAbcMux.o src/opt/lpk/lpkAbcDsd.o src/opt/lpk/lpkAbcUtil.o src/opt/lpk/lpkCut.o src/opt/lpk/lpkMan.o src/opt/lpk/lpkMap.o src/opt/lpk/lpkMulti.o src/opt/lpk/lpkMux.o src/opt/lpk/lpkSets.o src/opt/nwk/nwkAig.o src/opt/nwk/nwkCheck.o src/opt/nwk/nwkBidec.o src/opt/nwk/nwkDfs.o src/opt/nwk/nwkFanio.o src/opt/nwk/nwkFlow.o src/opt/nwk/nwkMan.o src/opt/nwk/nwkMap.o src/opt/nwk/nwkMerge.o src/opt/nwk/nwkObj.o src/opt/nwk/nwkSpeedup.o src/opt/nwk/nwkStrash.o src/opt/nwk/nwkTiming.o src/opt/nwk/nwkUtil.o src/opt/rwt/rwtDec.o src/opt/rwt/rwtMan.o src/opt/rwt/rwtUtil.o src/opt/rar/rewire_rng.o src/opt/rar/rewire_map.o src/opt/rar/rewire_rar.o src/opt/cgt/cgtAig.o src/opt/cgt/cgtCore.o src/opt/cgt/cgtDecide.o src/opt/cgt/cgtMan.o src/opt/cgt/cgtSat.o src/opt/csw/cswCore.o src/opt/csw/cswCut.o src/opt/csw/cswMan.o src/opt/csw/cswTable.o src/opt/dar/darBalance.o src/opt/dar/darCore.o src/opt/dar/darCut.o src/opt/dar/darData.o src/opt/dar/darLib.o src/opt/dar/darMan.o src/opt/dar/darPrec.o src/opt/dar/darRefact.o src/opt/dar/darScript.o src/opt/dau/dauCanon.o src/opt/dau/dauCore.o src/opt/dau/dauCount.o src/opt/dau/dauDivs.o src/opt/dau/dauDsd.o src/opt/dau/dauEnum.o src/opt/dau/dauGia.o src/opt/dau/dauMerge.o src/opt/dau/dauNonDsd.o src/opt/dau/dauNpn.o src/opt/dau/dauNpn2.o src/opt/dau/dauTree.o src/opt/dsc/dsc.o src/opt/sfm/sfmArea.o src/opt/sfm/sfmCnf.o src/opt/sfm/sfmCore.o src/opt/sfm/sfmDec.o src/opt/sfm/sfmLib.o src/opt/sfm/sfmNtk.o src/opt/sfm/sfmSat.o src/opt/sfm/sfmTim.o src/opt/sfm/sfmMit.o src/opt/sfm/sfmWin.o src/opt/sbd/sbd.o src/opt/sbd/sbdCnf.o src/opt/sbd/sbdCore.o src/opt/sbd/sbdCut.o src/opt/sbd/sbdCut2.o src/opt/sbd/sbdLut.o src/opt/sbd/sbdPath.o src/opt/sbd/sbdSat.o src/opt/sbd/sbdWin.o src/sat/bsat/satMem.o src/sat/bsat/satInter.o src/sat/bsat/satInterA.o src/sat/bsat/satInterB.o src/sat/bsat/satInterP.o src/sat/bsat/satProof.o src/sat/bsat/satSolver.o src/sat/bsat/satSolver2.o src/sat/bsat/satSolver2i.o src/sat/bsat/satSolver3.o src/sat/bsat/satStore.o src/sat/bsat/satTrace.o src/sat/bsat/satTruth.o src/sat/bsat/satUtil.o src/sat/xsat/xsatSolver.o src/sat/xsat/xsatSolverAPI.o src/sat/xsat/xsatCnfReader.o src/sat/satoko/solver.o src/sat/satoko/solver_api.o src/sat/satoko/cnf_reader.o src/sat/csat/csat_apis.o src/sat/msat/msatActivity.o src/sat/msat/msatClause.o src/sat/msat/msatClauseVec.o src/sat/msat/msatMem.o src/sat/msat/msatOrderH.o src/sat/msat/msatQueue.o src/sat/msat/msatRead.o src/sat/msat/msatSolverApi.o src/sat/msat/msatSolverCore.o src/sat/msat/msatSolverIo.o src/sat/msat/msatSolverSearch.o src/sat/msat/msatSort.o src/sat/msat/msatVec.o src/sat/cnf/cnfCore.o src/sat/cnf/cnfCut.o src/sat/cnf/cnfData.o src/sat/cnf/cnfFast.o src/sat/cnf/cnfMan.o src/sat/cnf/cnfMap.o src/sat/cnf/cnfPost.o src/sat/cnf/cnfUtil.o src/sat/cnf/cnfWrite.o src/sat/bmc/bmcBCore.o src/sat/bmc/bmcBmc.o src/sat/bmc/bmcBmc2.o src/sat/bmc/bmcBmc3.o src/sat/bmc/bmcBmcAnd.o src/sat/bmc/bmcBmci.o src/sat/bmc/bmcBmcG.o src/sat/bmc/bmcBmcS.o src/sat/bmc/bmcCexCare.o src/sat/bmc/bmcCexCut.o src/sat/bmc/bmcCexDepth.o src/sat/bmc/bmcCexMin1.o src/sat/bmc/bmcCexMin2.o src/sat/bmc/bmcCexTools.o src/sat/bmc/bmcChain.o src/sat/bmc/bmcClp.o src/sat/bmc/bmcEco.o src/sat/bmc/bmcExpand.o src/sat/bmc/bmcFault.o src/sat/bmc/bmcFx.o src/sat/bmc/bmcGen.o src/sat/bmc/bmcICheck.o src/sat/bmc/bmcInse.o src/sat/bmc/bmcLoad.o src/sat/bmc/bmcMaj.o src/sat/bmc/bmcMaj2.o src/sat/bmc/bmcMaj3.o src/sat/bmc/bmcMaxi.o src/sat/bmc/bmcMesh.o src/sat/bmc/bmcMesh2.o src/sat/bmc/bmcMulti.o src/sat/bmc/bmcUnroll.o src/sat/kissat/kissatSolver.o src/sat/kissat/kissatTest.o src/sat/kissat/allocate.o src/sat/kissat/analyze.o src/sat/kissat/ands.o src/sat/kissat/arena.o src/sat/kissat/assign.o src/sat/kissat/averages.o src/sat/kissat/backbone.o src/sat/kissat/backtrack.o src/sat/kissat/build.o src/sat/kissat/bump.o src/sat/kissat/check.o src/sat/kissat/classify.o src/sat/kissat/clause.o src/sat/kissat/collect.o src/sat/kissat/colors.o src/sat/kissat/compact.o src/sat/kissat/config.o src/sat/kissat/congruence.o src/sat/kissat/decide.o src/sat/kissat/deduce.o src/sat/kissat/definition.o src/sat/kissat/dense.o src/sat/kissat/dump.o src/sat/kissat/eliminate.o src/sat/kissat/equivalences.o src/sat/kissat/error.o src/sat/kissat/extend.o src/sat/kissat/factor.o src/sat/kissat/fastel.o src/sat/kissat/file.o src/sat/kissat/flags.o src/sat/kissat/format.o src/sat/kissat/forward.o src/sat/kissat/gates.o src/sat/kissat/heap.o src/sat/kissat/ifthenelse.o src/sat/kissat/import.o src/sat/kissat/internal.o src/sat/kissat/kimits.o src/sat/kissat/kitten.o src/sat/kissat/krite.o src/sat/kissat/learn.o src/sat/kissat/logging.o src/sat/kissat/kucky.o src/sat/kissat/minimize.o src/sat/kissat/mode.o src/sat/kissat/kptions.o src/sat/kissat/phases.o src/sat/kissat/preprocess.o src/sat/kissat/print.o src/sat/kissat/probe.o src/sat/kissat/profile.o src/sat/kissat/promote.o src/sat/kissat/proof.o src/sat/kissat/propbeyond.o src/sat/kissat/propdense.o src/sat/kissat/propinitially.o src/sat/kissat/proprobe.o src/sat/kissat/propsearch.o src/sat/kissat/queue.o src/sat/kissat/reduce.o src/sat/kissat/reluctant.o src/sat/kissat/reorder.o src/sat/kissat/rephase.o src/sat/kissat/report.o src/sat/kissat/resize.o src/sat/kissat/resolve.o src/sat/kissat/resources.o src/sat/kissat/restart.o src/sat/kissat/search.o src/sat/kissat/shrink.o src/sat/kissat/smooth.o src/sat/kissat/sort.o src/sat/kissat/stack.o src/sat/kissat/statistics.o src/sat/kissat/strengthen.o src/sat/kissat/substitute.o src/sat/kissat/sweep.o src/sat/kissat/terminate.o src/sat/kissat/tiers.o src/sat/kissat/trail.o src/sat/kissat/transitive.o src/sat/kissat/utilities.o src/sat/kissat/vector.o src/sat/kissat/vivify.o src/sat/kissat/walk.o src/sat/kissat/warmup.o src/sat/kissat/watch.o src/sat/kissat/weaken.o src/sat/cadical/cadicalSolver.o src/sat/cadical/cadicalTest.o src/sat/cadical/cadical_kitten.o src/bool/bdc/bdcCore.o src/bool/bdc/bdcDec.o src/bool/bdc/bdcSpfd.o src/bool/bdc/bdcTable.o src/bool/dec/decAbc.o src/bool/dec/decFactor.o src/bool/dec/decMan.o src/bool/dec/decPrint.o src/bool/dec/decUtil.o src/bool/kit/kitAig.o src/bool/kit/kitBdd.o src/bool/kit/kitCloud.o src/bool/kit/cloud.o src/bool/kit/kitDsd.o src/bool/kit/kitFactor.o src/bool/kit/kitGraph.o src/bool/kit/kitHop.o src/bool/kit/kitIsop.o src/bool/kit/kitPla.o src/bool/kit/kitSop.o src/bool/kit/kitTruth.o src/bool/lucky/lucky.o src/bool/lucky/luckyFast16.o src/bool/lucky/luckyFast6.o src/bool/lucky/luckyRead.o src/bool/lucky/luckySimple.o src/bool/lucky/luckySwapIJ.o src/bool/lucky/luckySwap.o src/bool/rsb/rsbDec6.o src/bool/rsb/rsbMan.o src/bool/rpo/rpo.o src/proof/pdr/pdrCnf.o src/proof/pdr/pdrCore.o src/proof/pdr/pdrIncr.o src/proof/pdr/pdrInv.o src/proof/pdr/pdrMan.o src/proof/pdr/pdrSat.o src/proof/pdr/pdrTsim.o src/proof/pdr/pdrTsim2.o src/proof/pdr/pdrTsim3.o src/proof/pdr/pdrUtil.o src/proof/abs/absDup.o src/proof/abs/absGla.o src/proof/abs/absGlaOld.o src/proof/abs/absIter.o src/proof/abs/absOldCex.o src/proof/abs/absOldRef.o src/proof/abs/absOldSat.o src/proof/abs/absOldSim.o src/proof/abs/absOut.o src/proof/abs/absPth.o src/proof/abs/absRef.o src/proof/abs/absRefSelect.o src/proof/abs/absRpm.o src/proof/abs/absRpmOld.o src/proof/abs/absVta.o src/proof/abs/absUtil.o src/proof/live/liveness.o src/proof/live/liveness_sim.o src/proof/live/ltl_parser.o src/proof/live/kliveness.o src/proof/live/monotone.o src/proof/live/disjunctiveMonotone.o src/proof/live/arenaViolation.o src/proof/live/kLiveConstraints.o src/proof/live/combination.o src/proof/ssc/sscClass.o src/proof/ssc/sscCore.o src/proof/ssc/sscSat.o src/proof/ssc/sscSim.o src/proof/ssc/sscUtil.o src/proof/int/intCheck.o src/proof/int/intContain.o src/proof/int/intCore.o src/proof/int/intCtrex.o src/proof/int/intDup.o src/proof/int/intFrames.o src/proof/int/intInter.o src/proof/int/intM114.o src/proof/int/intMan.o src/proof/int/intUtil.o src/proof/cec/cecCec.o src/proof/cec/cecChoice.o src/proof/cec/cecClass.o src/proof/cec/cecCore.o src/proof/cec/cecCorr.o src/proof/cec/cecIso.o src/proof/cec/cecMan.o src/proof/cec/cecPat.o src/proof/cec/cecProve.o src/proof/cec/cecSat.o src/proof/cec/cecSatG.o src/proof/cec/cecSatG2.o src/proof/cec/cecSatG3.o src/proof/cec/cecSeq.o src/proof/cec/cecSim.o src/proof/cec/cecSolve.o src/proof/cec/cecSolveG.o src/proof/cec/cecSplit.o src/proof/cec/cecSynth.o src/proof/cec/cecSweep.o src/proof/acec/acecCl.o src/proof/acec/acecCore.o src/proof/acec/acecCo.o src/proof/acec/acecBo.o src/proof/acec/acecRe.o src/proof/acec/acecPa.o src/proof/acec/acecPo.o src/proof/acec/acecPool.o src/proof/acec/acecCover.o src/proof/acec/acecFadds.o src/proof/acec/acecMult.o src/proof/acec/acecNorm.o src/proof/acec/acecOrder.o src/proof/acec/acecPolyn.o src/proof/acec/acecSt.o src/proof/acec/acecTree.o src/proof/acec/acecUtil.o src/proof/acec/acec2Mult.o src/proof/acec/acecXor.o src/proof/dch/dchAig.o src/proof/dch/dchChoice.o src/proof/dch/dchClass.o src/proof/dch/dchCnf.o src/proof/dch/dchCore.o src/proof/dch/dchMan.o src/proof/dch/dchSat.o src/proof/dch/dchSim.o src/proof/dch/dchSimSat.o src/proof/dch/dchSweep.o src/proof/fraig/fraigApi.o src/proof/fraig/fraigCanon.o src/proof/fraig/fraigFanout.o src/proof/fraig/fraigFeed.o src/proof/fraig/fraigMan.o src/proof/fraig/fraigMem.o src/proof/fraig/fraigNode.o src/proof/fraig/fraigPrime.o src/proof/fraig/fraigSat.o src/proof/fraig/fraigTable.o src/proof/fraig/fraigUtil.o src/proof/fraig/fraigVec.o src/proof/fra/fraBmc.o src/proof/fra/fraCec.o src/proof/fra/fraClass.o src/proof/fra/fraClau.o src/proof/fra/fraClaus.o src/proof/fra/fraCnf.o src/proof/fra/fraCore.o src/proof/fra/fraHot.o src/proof/fra/fraImp.o src/proof/fra/fraInd.o src/proof/fra/fraIndVer.o src/proof/fra/fraLcr.o src/proof/fra/fraMan.o src/proof/fra/fraPart.o src/proof/fra/fraSat.o src/proof/fra/fraSec.o src/proof/fra/fraSim.o src/proof/ssw/sswAig.o src/proof/ssw/sswBmc.o src/proof/ssw/sswClass.o src/proof/ssw/sswCnf.o src/proof/ssw/sswConstr.o src/proof/ssw/sswCore.o src/proof/ssw/sswDyn.o src/proof/ssw/sswFilter.o src/proof/ssw/sswIslands.o src/proof/ssw/sswLcorr.o src/proof/ssw/sswMan.o src/proof/ssw/sswPart.o src/proof/ssw/sswPairs.o src/proof/ssw/sswRarity.o src/proof/ssw/sswSat.o src/proof/ssw/sswSemi.o src/proof/ssw/sswSim.o src/proof/ssw/sswSimSat.o src/proof/ssw/sswSweep.o src/proof/ssw/sswUnique.o src/aig/aig/aigCheck.o src/aig/aig/aigCanon.o src/aig/aig/aigCuts.o src/aig/aig/aigDfs.o src/aig/aig/aigDup.o src/aig/aig/aigFanout.o src/aig/aig/aigFrames.o src/aig/aig/aigInter.o src/aig/aig/aigJust.o src/aig/aig/aigMan.o src/aig/aig/aigMem.o src/aig/aig/aigMffc.o src/aig/aig/aigObj.o src/aig/aig/aigOper.o src/aig/aig/aigOrder.o src/aig/aig/aigPack.o src/aig/aig/aigPart.o src/aig/aig/aigPartReg.o src/aig/aig/aigPartSat.o src/aig/aig/aigRepr.o src/aig/aig/aigRet.o src/aig/aig/aigRetF.o src/aig/aig/aigScl.o src/aig/aig/aigShow.o src/aig/aig/aigSplit.o src/aig/aig/aigTable.o src/aig/aig/aigTiming.o src/aig/aig/aigTruth.o src/aig/aig/aigTsim.o src/aig/aig/aigUtil.o src/aig/aig/aigWin.o src/aig/saig/saigCone.o src/aig/saig/saigConstr.o src/aig/saig/saigConstr2.o src/aig/saig/saigDual.o src/aig/saig/saigDup.o src/aig/saig/saigInd.o src/aig/saig/saigIoa.o src/aig/saig/saigIso.o src/aig/saig/saigIsoFast.o src/aig/saig/saigIsoSlow.o src/aig/saig/saigMiter.o src/aig/saig/saigOutDec.o src/aig/saig/saigPhase.o src/aig/saig/saigRetFwd.o src/aig/saig/saigRetMin.o src/aig/saig/saigRetStep.o src/aig/saig/saigScl.o src/aig/saig/saigSimFast.o src/aig/saig/saigSimMv.o src/aig/saig/saigSimSeq.o src/aig/saig/saigStrSim.o src/aig/saig/saigSwitch.o src/aig/saig/saigSynch.o src/aig/saig/saigTempor.o src/aig/saig/saigTrans.o src/aig/saig/saigWnd.o src/aig/gia/giaAig.o src/aig/gia/giaAgi.o src/aig/gia/giaAiger.o src/aig/gia/giaAigerExt.o src/aig/gia/giaBalAig.o src/aig/gia/giaBalLut.o src/aig/gia/giaBalMap.o src/aig/gia/giaBidec.o src/aig/gia/giaCCof.o src/aig/gia/giaCex.o src/aig/gia/giaClp.o src/aig/gia/giaCof.o src/aig/gia/giaCone.o src/aig/gia/giaCSatOld.o src/aig/gia/giaCSat.o src/aig/gia/giaCSat2.o src/aig/gia/giaCSat3.o src/aig/gia/giaCSatP.o src/aig/gia/giaCTas.o src/aig/gia/giaCut.o src/aig/gia/giaDecs.o src/aig/gia/giaDeep.o src/aig/gia/giaDfs.o src/aig/gia/giaDup.o src/aig/gia/giaEdge.o src/aig/gia/giaEmbed.o src/aig/gia/giaEnable.o src/aig/gia/giaEquiv.o src/aig/gia/giaEra.o src/aig/gia/giaEra2.o src/aig/gia/giaEsop.o src/aig/gia/giaExist.o src/aig/gia/giaFalse.o src/aig/gia/giaFanout.o src/aig/gia/giaForce.o src/aig/gia/giaFrames.o src/aig/gia/giaFront.o src/aig/gia/giaFx.o src/aig/gia/giaGen.o src/aig/gia/giaGig.o src/aig/gia/giaGlitch.o src/aig/gia/giaHash.o src/aig/gia/giaIf.o src/aig/gia/giaIff.o src/aig/gia/giaIiff.o src/aig/gia/giaIso.o src/aig/gia/giaIso2.o src/aig/gia/giaIso3.o src/aig/gia/giaJf.o src/aig/gia/giaKf.o src/aig/gia/giaLf.o src/aig/gia/giaMf.o src/aig/gia/giaMan.o src/aig/gia/giaMem.o src/aig/gia/giaMfs.o src/aig/gia/giaMini.o src/aig/gia/giaMinLut.o src/aig/gia/giaMinLut2.o src/aig/gia/giaMulFind.o src/aig/gia/giaMuxes.o src/aig/gia/giaNf.o src/aig/gia/giaOf.o src/aig/gia/giaPack.o src/aig/gia/giaPat.o src/aig/gia/giaPat2.o src/aig/gia/giaPf.o src/aig/gia/giaQbf.o src/aig/gia/giaReshape1.o src/aig/gia/giaReshape2.o src/aig/gia/giaResub.o src/aig/gia/giaResub2.o src/aig/gia/giaResub3.o src/aig/gia/giaResub6.o src/aig/gia/giaRetime.o src/aig/gia/giaRex.o src/aig/gia/giaSatEdge.o src/aig/gia/giaSatLE.o src/aig/gia/giaSatLut.o src/aig/gia/giaSatMap.o src/aig/gia/giaSatoko.o src/aig/gia/giaSatSyn.o src/aig/gia/giaSat3.o src/aig/gia/giaScl.o src/aig/gia/giaScript.o src/aig/gia/giaShow.o src/aig/gia/giaShrink.o src/aig/gia/giaShrink6.o src/aig/gia/giaShrink7.o src/aig/gia/giaSif.o src/aig/gia/giaSim.o src/aig/gia/giaSim2.o src/aig/gia/giaSimBase.o src/aig/gia/giaSort.o src/aig/gia/giaSpeedup.o src/aig/gia/giaSplit.o src/aig/gia/giaStg.o src/aig/gia/giaStoch.o src/aig/gia/giaStr.o src/aig/gia/giaSupMin.o src/aig/gia/giaSupp.o src/aig/gia/giaSupps.o src/aig/gia/giaSweep.o src/aig/gia/giaSweeper.o src/aig/gia/giaSwitch.o src/aig/gia/giaTim.o src/aig/gia/giaTis.o src/aig/gia/giaTranStoch.o src/aig/gia/giaTruth.o src/aig/gia/giaTsim.o src/aig/gia/giaUnate.o src/aig/gia/giaUtil.o src/aig/gia/giaBound.o src/aig/ioa/ioaReadAig.o src/aig/ioa/ioaWriteAig.o src/aig/ioa/ioaUtil.o src/aig/ivy/ivyBalance.o src/aig/ivy/ivyCanon.o src/aig/ivy/ivyCheck.o src/aig/ivy/ivyCut.o src/aig/ivy/ivyCutTrav.o src/aig/ivy/ivyDfs.o src/aig/ivy/ivyDsd.o src/aig/ivy/ivyFanout.o src/aig/ivy/ivyFastMap.o src/aig/ivy/ivyFraig.o src/aig/ivy/ivyHaig.o src/aig/ivy/ivyMan.o src/aig/ivy/ivyMem.o src/aig/ivy/ivyMulti.o src/aig/ivy/ivyObj.o src/aig/ivy/ivyOper.o src/aig/ivy/ivyResyn.o src/aig/ivy/ivyRwr.o src/aig/ivy/ivySeq.o src/aig/ivy/ivyShow.o src/aig/ivy/ivyTable.o src/aig/ivy/ivyUtil.o src/aig/hop/hopBalance.o src/aig/hop/hopCheck.o src/aig/hop/hopDfs.o src/aig/hop/hopMan.o src/aig/hop/hopMem.o src/aig/hop/hopObj.o src/aig/hop/hopOper.o src/aig/hop/hopTable.o src/aig/hop/hopTruth.o src/aig/hop/hopUtil.o src/bdd/cudd/cuddAPI.o src/bdd/cudd/cuddAddAbs.o src/bdd/cudd/cuddAddApply.o src/bdd/cudd/cuddAddFind.o src/bdd/cudd/cuddAddInv.o src/bdd/cudd/cuddAddIte.o src/bdd/cudd/cuddAddNeg.o src/bdd/cudd/cuddAddWalsh.o src/bdd/cudd/cuddAndAbs.o src/bdd/cudd/cuddAnneal.o src/bdd/cudd/cuddApa.o src/bdd/cudd/cuddApprox.o src/bdd/cudd/cuddBddAbs.o src/bdd/cudd/cuddBddCorr.o src/bdd/cudd/cuddBddIte.o src/bdd/cudd/cuddBridge.o src/bdd/cudd/cuddCache.o src/bdd/cudd/cuddCheck.o src/bdd/cudd/cuddClip.o src/bdd/cudd/cuddCof.o src/bdd/cudd/cuddCompose.o src/bdd/cudd/cuddDecomp.o src/bdd/cudd/cuddEssent.o src/bdd/cudd/cuddExact.o src/bdd/cudd/cuddExport.o src/bdd/cudd/cuddGenCof.o src/bdd/cudd/cuddGenetic.o src/bdd/cudd/cuddGroup.o src/bdd/cudd/cuddHarwell.o src/bdd/cudd/cuddInit.o src/bdd/cudd/cuddInteract.o src/bdd/cudd/cuddLCache.o src/bdd/cudd/cuddLevelQ.o src/bdd/cudd/cuddLinear.o src/bdd/cudd/cuddLiteral.o src/bdd/cudd/cuddMatMult.o src/bdd/cudd/cuddPriority.o src/bdd/cudd/cuddRead.o src/bdd/cudd/cuddRef.o src/bdd/cudd/cuddReorder.o src/bdd/cudd/cuddSat.o src/bdd/cudd/cuddSign.o src/bdd/cudd/cuddSolve.o src/bdd/cudd/cuddSplit.o src/bdd/cudd/cuddSubsetHB.o src/bdd/cudd/cuddSubsetSP.o src/bdd/cudd/cuddSymmetry.o src/bdd/cudd/cuddTable.o src/bdd/cudd/cuddUtil.o src/bdd/cudd/cuddWindow.o src/bdd/cudd/cuddZddCount.o src/bdd/cudd/cuddZddFuncs.o src/bdd/cudd/cuddZddGroup.o src/bdd/cudd/cuddZddIsop.o src/bdd/cudd/cuddZddLin.o src/bdd/cudd/cuddZddMisc.o src/bdd/cudd/cuddZddPort.o src/bdd/cudd/cuddZddReord.o src/bdd/cudd/cuddZddSetop.o src/bdd/cudd/cuddZddSymm.o src/bdd/cudd/cuddZddUtil.o src/bdd/extrab/extraBddAuto.o src/bdd/extrab/extraBddCas.o src/bdd/extrab/extraBddImage.o src/bdd/extrab/extraBddKmap.o src/bdd/extrab/extraBddMaxMin.o src/bdd/extrab/extraBddMisc.o src/bdd/extrab/extraBddSet.o src/bdd/extrab/extraBddSymm.o src/bdd/extrab/extraBddThresh.o src/bdd/extrab/extraBddTime.o src/bdd/extrab/extraBddUnate.o src/bdd/dsd/dsdApi.o src/bdd/dsd/dsdCheck.o src/bdd/dsd/dsdLocal.o src/bdd/dsd/dsdMan.o src/bdd/dsd/dsdProc.o src/bdd/dsd/dsdTree.o src/bdd/epd/epd.o src/bdd/mtr/mtrBasic.o src/bdd/mtr/mtrGroup.o src/bdd/reo/reoApi.o src/bdd/reo/reoCore.o src/bdd/reo/reoProfile.o src/bdd/reo/reoShuffle.o src/bdd/reo/reoSift.o src/bdd/reo/reoSwap.o src/bdd/reo/reoTransfer.o src/bdd/reo/reoUnits.o src/bdd/cas/casCore.o src/bdd/cas/casDec.o src/bdd/bbr/bbrCex.o src/bdd/bbr/bbrImage.o src/bdd/bbr/bbrNtbdd.o src/bdd/bbr/bbrReach.o src/bdd/llb/llb1Cluster.o src/bdd/llb/llb1Constr.o src/bdd/llb/llb1Core.o src/bdd/llb/llb1Group.o src/bdd/llb/llb1Hint.o src/bdd/llb/llb1Man.o src/bdd/llb/llb1Matrix.o src/bdd/llb/llb1Pivot.o src/bdd/llb/llb1Reach.o src/bdd/llb/llb1Sched.o src/bdd/llb/llb2Bad.o src/bdd/llb/llb2Core.o src/bdd/llb/llb2Driver.o src/bdd/llb/llb2Dump.o src/bdd/llb/llb2Flow.o src/bdd/llb/llb2Image.o src/bdd/llb/llb3Image.o src/bdd/llb/llb3Nonlin.o src/bdd/llb/llb4Cex.o src/bdd/llb/llb4Image.o src/bdd/llb/llb4Nonlin.o src/bdd/llb/llb4Sweep.o -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -lreadline -lpthread -lm -ldl -lrt -lbz2 -lz -lstdc++ src/sat/glucose/Glucose.cpp:913:8: warning: type 'struct reduceDB_lt' violates the C++ One Definition Rule [-Wodr] @@ -28509,7 +28545,7 @@ debian/rules override_dh_auto_build-indep make[1]: Entering directory '/build/reproducible-path/yosys-0.52' dh_auto_build -- docs DOC_TARGET=latexpdf - make -j12 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf + make -j16 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf make[2]: Entering directory '/build/reproducible-path/yosys-0.52' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: @@ -28518,50 +28554,75 @@ mkdir -p docs/source/generated/functional mkdir -p docs/source/generated/functional mkdir -p docs/source/cmd -cp backends/functional/smtlib.cc docs/source/generated/functional/smtlib.cc -diff -U 20 backends/functional/smtlib.cc backends/functional/smtlib_rosette.cc > docs/source/generated/functional/rosette.diff || exit 0 ./yosys -p 'help -dump-cells-json docs/source/generated/cells.json' make -C docs gen -PYTHONPATH=./share/python3 ./yosys --help > docs/source/generated/yosys || rm docs/source/generated/yosys +cp backends/functional/smtlib.cc docs/source/generated/functional/smtlib.cc +diff -U 20 backends/functional/smtlib.cc backends/functional/smtlib_rosette.cc > docs/source/generated/functional/rosette.diff || exit 0 mkdir -p temp/docs/source/cmd +PYTHONPATH=./share/python3 ./yosys --help > docs/source/generated/yosys || rm docs/source/generated/yosys PYTHONPATH=./share/python3 ./yosys-smtbmc --help > docs/source/generated/yosys-smtbmc || rm docs/source/generated/yosys-smtbmc PYTHONPATH=./share/python3 ./yosys-witness --help > docs/source/generated/yosys-witness || rm docs/source/generated/yosys-witness -PYTHONPATH=./share/python3 ./yosys-config --help > docs/source/generated/yosys-config || rm docs/source/generated/yosys-config -./yosys-filterlib --help 2> docs/source/generated/yosys-filterlib make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs' make examples +PYTHONPATH=./share/python3 ./yosys-config --help > docs/source/generated/yosys-config || rm docs/source/generated/yosys-config +./yosys-filterlib --help 2> docs/source/generated/yosys-filterlib cd temp && ./../yosys -p 'help -write-rst-command-reference-manual' >/dev/null ./yosys-abc --help 2> docs/source/generated/yosys-abc make[4]: Entering directory '/build/reproducible-path/yosys-0.52/docs' make -C source/code_examples/extensions examples -make[2]: [Makefile:1071: docs/source/generated/yosys-filterlib] Error 1 (ignored) make -C source/code_examples/fifo examples make -C source/code_examples/intro examples -make -C source/code_examples/macc examples make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' +make -C source/code_examples/macc examples make -C source/code_examples/opt examples +make -C source/code_examples/scrambler examples +make -C source/code_examples/selections examples +make -C source/code_examples/show examples +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' ../../../../yosys fifo.ys -l fifo.out -Q -T -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' -make[5]: Nothing to be done for 'examples'. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' -make -C source/code_examples/scrambler examples -make -C source/code_examples/selections examples +make -C source/code_examples/stubnets examples make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' -make -C source/code_examples/show examples -make -C source/code_examples/stubnets examples +make -C source/code_examples/synth_flow examples + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Running command `help -dump-cells-json docs/source/generated/cells.json' -- + +End of script. Logfile hash: 435b0c1a21, CPU: user 0.01s system 0.00s, MEM: 16.20 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 100% 1x help (0 sec) +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' +make[5]: Nothing to be done for 'examples'. +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' +make -C source/code_examples/techmap examples +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' +../../../../yosys example_lscd.ys -l example.out -Q -T make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' +../../../../yosys fifo_map.ys +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' +make[5]: Nothing to be done for 'examples'. +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' +make[2]: [Makefile:1071: docs/source/generated/yosys-filterlib] Error 1 (ignored) +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' +make[5]: Nothing to be done for 'examples'. +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' ../../../../yosys sumprod.ys -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' -../../../../yosys example_lscd.ys -l example.out -Q -T +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' +make[5]: Nothing to be done for 'examples'. +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' -- Executing script file `fifo.ys' -- $ yosys fifo.v @@ -28569,6 +28630,10 @@ -- Parsing `fifo.v' using frontend ` -vlog2k' -- 1. Executing Verilog-2005 frontend: fifo.v + +-- Executing script file `example_lscd.ys' -- + +1. Executing Verilog-2005 frontend: example.v ../../../../yosys-config --exec --cxx -g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I../../../../share/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER="0.52" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs Parsing Verilog input from `fifo.v' to AST representation. Storing AST representation for module `$abstract\addr_gen'. @@ -28581,6 +28646,56 @@ 2. Executing HIERARCHY pass (managing design hierarchy). 3. Executing AST frontend in derive mode using pre-parsed AST for module `\addr_gen'. +Parsing Verilog input from `example.v' to AST representation. +Generating RTLIL representation for module `\example'. +Successfully finished Verilog frontend. +echo on + +yosys> ls + +1 modules: + example + +yosys> cd example + +yosys [example]> ls + +8 wires: + $0\y[1:0] + $add$example.v:5$2_Y + $ternary$example.v:5$3_Y + a + b + c + clk + y + +2 cells: + $add$example.v:5$2 + $ternary$example.v:5$3 + +1 processes: + $proc$example.v:3$1 + +yosys [example]> dump $2 + + + attribute \src "example.v:5.22-5.27" + cell $add $add$example.v:5$2 + parameter \Y_WIDTH 2 + parameter \B_WIDTH 1 + parameter \A_WIDTH 1 + parameter \B_SIGNED 0 + parameter \A_SIGNED 0 + connect \Y $add$example.v:5$2_Y + connect \B \b + connect \A \a + end + +yosys [example]> cd .. + +yosys> echo off +echo off Generating RTLIL representation for module `\addr_gen'. 3.1. Analyzing design hierarchy.. @@ -28630,18 +28745,16 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Running command `help -dump-cells-json docs/source/generated/cells.json' -- +-- Executing script file `fifo_map.ys' -- -End of script. Logfile hash: 435b0c1a21, CPU: user 0.01s system 0.00s, MEM: 16.38 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 100% 1x help (0 sec) +1. Executing Verilog-2005 frontend: fifo.v Writing dot description to `addr_gen_show.dot'. Dumping module addr_gen to page 1. yosys> show -format dot -prefix new_cells_show -notitle @new_cells 5. Generating Graphviz representation of design. -../../../../yosys fifo_map.ys +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' Writing dot description to `new_cells_show.dot'. Dumping selected parts of module addr_gen to page 1. @@ -28699,11 +28812,21 @@ yosys> proc_dlatch 7.8. Executing PROC_DLATCH pass (convert process syncs to latches). -make -C source/code_examples/synth_flow examples yosys> proc_dff 7.9. Executing PROC_DFF pass (convert process syncs to FFs). +Parsing Verilog input from `fifo.v' to AST representation. +Generating RTLIL representation for module `\addr_gen'. +Generating RTLIL representation for module `\fifo'. +Successfully finished Verilog frontend. +echo on + +yosys> tee -o fifo.stat stat + +yosys> stat + +2. Printing statistics. Creating register for signal `\addr_gen.\addr' using process `\addr_gen.$proc$fifo.v:12$1'. created $adff cell `$procdff$12' with positive edge clock and positive level reset. @@ -28719,12 +28842,60 @@ Removing empty process `addr_gen.$proc$fifo.v:12$1'. Cleaned up 2 empty switches. +=== fifo === + + Number of wires: 28 + Number of wire bits: 219 + Number of public wires: 9 + Number of public wire bits: 45 + Number of ports: 7 + Number of port bits: 29 + Number of memories: 1 + Number of memory bits: 2048 + Number of processes: 3 + Number of cells: 9 + $add 1 + $logic_and 2 + $logic_not 2 + $memrd 1 + $sub 1 + addr_gen 2 + +=== addr_gen === + + Number of wires: 8 + Number of wire bits: 60 + Number of public wires: 4 + Number of public wire bits: 11 + Number of ports: 4 + Number of port bits: 11 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 2 + Number of cells: 2 + $add 1 + $eq 1 + + +yosys> echo off +echo off + +3. Executing SYNTH_ICE40 pass. + yosys> select -set new_cells t:$mux t:*dff yosys> show -color maroon3 @new_cells -notitle -format dot -prefix addr_gen_proc 8. Generating Graphviz representation of design. +3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/ice40/cells_sim.v +Writing dot description to `addr_gen_proc.dot'. +Dumping module addr_gen to page 1. + +yosys> opt_expr + +9. Executing OPT_EXPR pass (perform const folding). + /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | @@ -28735,19 +28906,6 @@ -- Executing script file `sumprod.ys' -- 1. Executing Verilog-2005 frontend: sumprod.v -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' -make[5]: Nothing to be done for 'examples'. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' - --- Executing script file `example_lscd.ys' -- - -1. Executing Verilog-2005 frontend: example.v -Writing dot description to `addr_gen_proc.dot'. -Dumping module addr_gen to page 1. - -yosys> opt_expr - -9. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `sumprod.v' to AST representation. Generating RTLIL representation for module `\sumprod'. Successfully finished Verilog frontend. @@ -28785,62 +28943,10 @@ 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Parsing Verilog input from `example.v' to AST representation. -Generating RTLIL representation for module `\example'. -Successfully finished Verilog frontend. -echo on - -yosys> ls - -1 modules: - example - -yosys> cd example - -yosys [example]> ls - -8 wires: - $0\y[1:0] - $add$example.v:5$2_Y - $ternary$example.v:5$3_Y - a - b - c - clk - y - -2 cells: - $add$example.v:5$2 - $ternary$example.v:5$3 - -1 processes: - $proc$example.v:3$1 - -yosys [example]> dump $2 - - - attribute \src "example.v:5.22-5.27" - cell $add $add$example.v:5$2 - parameter \Y_WIDTH 2 - parameter \B_WIDTH 1 - parameter \A_WIDTH 1 - parameter \B_SIGNED 0 - parameter \A_SIGNED 0 - connect \Y $add$example.v:5$2_Y - connect \B \b - connect \A \a - end - -yosys [example]> cd .. - -yosys> echo off -echo off -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' -make[5]: Nothing to be done for 'examples'. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -make -C source/code_examples/techmap examples +Optimizing module addr_gen. + 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). @@ -28848,15 +28954,6 @@ Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module addr_gen. - -Optimizing module sumprod. - -2.3. Executing FUTURE pass. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' -make[5]: Nothing to be done for 'examples'. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' yosys> clean Removed 0 unused cells and 5 unused wires. @@ -28866,35 +28963,19 @@ yosys> show -color cornflowerblue @new_cells -notitle -format dot -prefix addr_gen_clean 10. Generating Graphviz representation of design. - -2.4. Executing OPT_EXPR pass (perform const folding). Writing dot description to `addr_gen_clean.dot'. Dumping module addr_gen to page 1. +Optimizing module sumprod. + +2.3. Executing FUTURE pass. yosys> design -reset yosys> read_verilog fifo.v 11. Executing Verilog-2005 frontend: fifo.v -Optimizing module sumprod. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `fifo_map.ys' -- - -1. Executing Verilog-2005 frontend: fifo.v -Finding unused cells or wires in module \sumprod.. -Removed 0 unused cells and 2 unused wires. - -2.6. Executing CHECK pass (checking for obvious problems). +2.4. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `fifo.v' to AST representation. Generating RTLIL representation for module `\addr_gen'. Generating RTLIL representation for module `\fifo'. @@ -28903,6 +28984,7 @@ yosys> hierarchy -check -top fifo 12. Executing HIERARCHY pass (managing design hierarchy). +Optimizing module sumprod. 12.1. Analyzing design hierarchy.. Top module: \fifo @@ -28910,12 +28992,8 @@ Parameter \MAX_DATA = 256 12.2. Executing AST frontend in derive mode using pre-parsed AST for module `\addr_gen'. -Checking module sumprod... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). -2.7.1. Executing OPT_EXPR pass (perform const folding). +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Parameter \MAX_DATA = 256 Generating RTLIL representation for module `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000'. Parameter \MAX_DATA = 256 @@ -28991,66 +29069,15 @@ yosys> proc_dlatch 13.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Optimizing module sumprod. - -2.7.2. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `fifo.v' to AST representation. -Generating RTLIL representation for module `\addr_gen'. -Generating RTLIL representation for module `\fifo'. -Successfully finished Verilog frontend. -echo on - -yosys> tee -o fifo.stat stat - -yosys> stat - -2. Printing statistics. yosys> proc_dff 13.9. Executing PROC_DFF pass (convert process syncs to FFs). +Finding unused cells or wires in module \sumprod.. +Removed 0 unused cells and 2 unused wires. + -=== fifo === - - Number of wires: 28 - Number of wire bits: 219 - Number of public wires: 9 - Number of public wire bits: 45 - Number of ports: 7 - Number of port bits: 29 - Number of memories: 1 - Number of memory bits: 2048 - Number of processes: 3 - Number of cells: 9 - $add 1 - $logic_and 2 - $logic_not 2 - $memrd 1 - $sub 1 - addr_gen 2 - -=== addr_gen === - - Number of wires: 8 - Number of wire bits: 60 - Number of public wires: 4 - Number of public wire bits: 11 - Number of ports: 4 - Number of port bits: 11 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 2 - Number of cells: 2 - $add 1 - $eq 1 - - -yosys> echo off -echo off - -3. Executing SYNTH_ICE40 pass. - -3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/ice40/cells_sim.v +2.6. Executing CHECK pass (checking for obvious problems). Creating register for signal `\fifo.\count' using process `\fifo.$proc$fifo.v:62$26'. created $adff cell `$procdff$59' with positive edge clock and positive level reset. Creating register for signal `\fifo.\rdata' using process `\fifo.$proc$fifo.v:36$18'. @@ -29084,6 +29111,25 @@ yosys> opt_expr -keepdc 13.12. Executing OPT_EXPR pass (perform const folding). +Checking module sumprod... +Found and reported 0 problems. + +2.7. Executing OPT pass (performing simple optimizations). + +2.7.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module sumprod. + +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Optimizing module fifo. + +Optimizing module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. + + +yosys> select -set new_cells t:$memrd + +yosys> show -color maroon3 c:fifo_reader -color cornflowerblue @new_cells -notitle -format dot -prefix rdata_proc o:rdata %ci* + +14. Generating Graphviz representation of design. Finding identical cells in module `\sumprod'. Removed a total of 0 cells. @@ -29098,37 +29144,42 @@ Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `rdata_proc.dot'. +Dumping selected parts of module fifo to page 1. + +yosys> flatten + +15. Executing FLATTEN pass (flatten design). Finding identical cells in module `\sumprod'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module fifo. - -Optimizing module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. - +Deleting now unused module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. Finding unused cells or wires in module \sumprod.. 2.7.7. Executing OPT_EXPR pass (perform const folding). - -yosys> select -set new_cells t:$memrd - -yosys> show -color maroon3 c:fifo_reader -color cornflowerblue @new_cells -notitle -format dot -prefix rdata_proc o:rdata %ci* - -14. Generating Graphviz representation of design. Optimizing module sumprod. 2.7.8. Finished OPT passes. (There is nothing left to do.) -Writing dot description to `rdata_proc.dot'. -Dumping selected parts of module fifo to page 1. 2.8. Executing WREDUCE pass (reducing word size of cells). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). + -yosys> flatten +yosys> clean +Removed 3 unused cells and 28 unused wires. -15. Executing FLATTEN pass (flatten design). -Deleting now unused module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. +yosys> select -set rdata_path o:rdata %ci* + +yosys> select -set new_cells @rdata_path o:rdata %ci3 %d i:* %d + +yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_flat @rdata_path + +16. Generating Graphviz representation of design. +UC Berkeley, ABC 1.01 (compiled May 4 2025 16:37:33) +Writing dot description to `rdata_flat.dot'. +Dumping selected parts of module fifo to page 1. Finding unused cells or wires in module \sumprod.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). @@ -29136,37 +29187,40 @@ 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). + +yosys> opt_dff + +17. Executing OPT_DFF pass (perform DFF optimizations). +cp -ru temp/docs/source/cmd docs/source +make[2]: [Makefile:1071: docs/source/generated/yosys-abc] Error 1 (ignored) Optimizing module sumprod. +Adding EN signal on $procdff$59 ($adff) from module fifo (D = $0\count[8:0], Q = \count). +Adding EN signal on $flatten\fifo_writer.$procdff$66 ($adff) from module fifo (D = $flatten\fifo_writer.$procmux$53_Y, Q = \fifo_writer.addr). +Adding EN signal on $flatten\fifo_reader.$procdff$66 ($adff) from module fifo (D = $flatten\fifo_reader.$procmux$53_Y, Q = \fifo_reader.addr). + +yosys> select -set new_cells t:$adffe + +yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_adffe o:rdata %ci* + +18. Generating Graphviz representation of design. 2.11.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\sumprod'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). - - -yosys> clean -Removed 3 unused cells and 28 unused wires. - -yosys> select -set rdata_path o:rdata %ci* - -yosys> select -set new_cells @rdata_path o:rdata %ci3 %d i:* %d +Writing dot description to `rdata_adffe.dot'. +Dumping selected parts of module fifo to page 1. -yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_flat @rdata_path +yosys> wreduce -16. Generating Graphviz representation of design. -Writing dot description to `rdata_flat.dot'. -Dumping selected parts of module fifo to page 1. +19. Executing WREDUCE pass (reducing word size of cells). Finding unused cells or wires in module \sumprod.. 2.11.4. Finished fast OPT passes. 2.12. Printing statistics. -yosys> opt_dff - -17. Executing OPT_DFF pass (perform DFF optimizations). - === sumprod === Number of wires: 7 @@ -29183,37 +29237,6 @@ $mul 2 2.13. Executing CHECK pass (checking for obvious problems). -Checking module sumprod... -Found and reported 0 problems. - -3. Generating Graphviz representation of design. -Adding EN signal on $procdff$59 ($adff) from module fifo (D = $0\count[8:0], Q = \count). -Adding EN signal on $flatten\fifo_writer.$procdff$66 ($adff) from module fifo (D = $flatten\fifo_writer.$procmux$53_Y, Q = \fifo_writer.addr). -Adding EN signal on $flatten\fifo_reader.$procdff$66 ($adff) from module fifo (D = $flatten\fifo_reader.$procmux$53_Y, Q = \fifo_reader.addr). - -yosys> select -set new_cells t:$adffe - -yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_adffe o:rdata %ci* - -18. Generating Graphviz representation of design. -Writing dot description to `sumprod_00.dot'. -Dumping selected parts of module sumprod to page 1. - -4. Generating Graphviz representation of design. -Writing dot description to `sumprod_01.dot'. -Dumping selected parts of module sumprod to page 1. -Writing dot description to `rdata_adffe.dot'. -Dumping selected parts of module fifo to page 1. - -5. Generating Graphviz representation of design. - -yosys> wreduce - -19. Executing WREDUCE pass (reducing word size of cells). -Writing dot description to `sumprod_02.dot'. -Dumping selected parts of module sumprod to page 1. - -6. Generating Graphviz representation of design. Removed top 31 bits (of 32) from port B of cell fifo.$add$fifo.v:66$29 ($add). Removed top 23 bits (of 32) from port Y of cell fifo.$add$fifo.v:66$29 ($add). Removed top 31 bits (of 32) from port B of cell fifo.$sub$fifo.v:68$32 ($sub). @@ -29232,32 +29255,39 @@ yosys> show -notitle -format dot -prefix rdata_wreduce o:rdata %ci* 20. Generating Graphviz representation of design. -cp -ru temp/docs/source/cmd docs/source -UC Berkeley, ABC 1.01 (compiled May 4 2025 16:37:33) +Checking module sumprod... +Found and reported 0 problems. + +3. Generating Graphviz representation of design. Writing dot description to `rdata_wreduce.dot'. Dumping selected parts of module fifo to page 1. -Writing dot description to `sumprod_03.dot'. +Writing dot description to `sumprod_00.dot'. Dumping selected parts of module sumprod to page 1. +4. Generating Graphviz representation of design. + yosys> opt_clean 21. Executing OPT_CLEAN pass (remove unused cells and wires). - -7. Generating Graphviz representation of design. -make[2]: [Makefile:1071: docs/source/generated/yosys-abc] Error 1 (ignored) -Writing dot description to `sumprod_04.dot'. +Writing dot description to `sumprod_01.dot'. Dumping selected parts of module sumprod to page 1. + +5. Generating Graphviz representation of design. Finding unused cells or wires in module \fifo.. Removed 0 unused cells and 5 unused wires. - -8. Generating Graphviz representation of design. +Writing dot description to `sumprod_02.dot'. +Dumping selected parts of module sumprod to page 1. yosys> memory_dff 22. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Writing dot description to `sumprod_05.dot'. + +6. Generating Graphviz representation of design. +Writing dot description to `sumprod_03.dot'. Dumping selected parts of module sumprod to page 1. + +7. Generating Graphviz representation of design. Checking read port `\data'[0] in module `\fifo': merging output FF to cell. Write port 0: non-transparent. @@ -29266,10 +29296,10 @@ yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_memrdv2 o:rdata %ci* 23. Generating Graphviz representation of design. +Writing dot description to `sumprod_04.dot'. +Dumping selected parts of module sumprod to page 1. -End of script. Logfile hash: ad287e9838, CPU: user 0.02s system 0.00s, MEM: 11.88 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 27% 6x show (0 sec), 23% 5x opt_expr (0 sec), ... +8. Generating Graphviz representation of design. Writing dot description to `rdata_memrdv2.dot'. Dumping selected parts of module fifo to page 1. @@ -29296,13 +29326,18 @@ yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_alumacc o:rdata %ci* 25. Generating Graphviz representation of design. +Writing dot description to `sumprod_05.dot'. +Dumping selected parts of module sumprod to page 1. Writing dot description to `rdata_alumacc.dot'. Dumping selected parts of module fifo to page 1. yosys> memory_collect 26. Executing MEMORY_COLLECT pass (generating $mem cells). -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' + +End of script. Logfile hash: ad287e9838, CPU: user 0.04s system 0.00s, MEM: 12.86 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 27% 6x show (0 sec), 23% 5x opt_expr (0 sec), ... yosys> select -set new_cells t:$mem_v2 @@ -29313,6 +29348,7 @@ 27. Generating Graphviz representation of design. Writing dot description to `rdata_coarse.dot'. Dumping selected parts of module fifo to page 1. +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' rm -rf temp Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/ice40/cells_sim.v' to AST representation. Generating RTLIL representation for module `\SB_IO'. @@ -30716,7 +30752,7 @@ ABC: Warning: AIG with boxes has internal fanout in 0 complex flops and 20 carries. ABC: + &write -n /output.aig ABC: + time -ABC: elapse: 0.05 seconds, total: 0.05 seconds +ABC: elapse: 0.01 seconds, total: 0.01 seconds 13.3.16.6. Executing AIGER frontend. @@ -30916,36 +30952,17 @@ yosys> echo off echo off -End of script. Logfile hash: fe849f70a1, CPU: user 0.72s system 0.02s, MEM: 30.60 MB peak +End of script. Logfile hash: ef2758e5b5, CPU: user 1.21s system 0.02s, MEM: 30.42 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 36% 21x read_verilog (0 sec), 29% 11x techmap (0 sec), ... +Time spent: 36% 21x read_verilog (0 sec), 31% 11x techmap (0 sec), ... make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' ../../../../yosys -QTl test0.log_new -m ./my_cmd.so -p 'my_cmd foo bar' -f verilog absval_ref.v ../../../../yosys -QTl test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' -f verilog absval_ref.v ../../../../yosys -QTl test2.log_new -m ./my_cmd.so -p 'hierarchy -top test; test2' -f verilog sigmap_test.v --- Parsing `absval_ref.v' using frontend `verilog' -- - -1. Executing Verilog-2005 frontend: absval_ref.v -Parsing Verilog input from `absval_ref.v' to AST representation. -Generating RTLIL representation for module `\absval_ref'. -Successfully finished Verilog frontend. - --- Running command `my_cmd foo bar' -- -Arguments to my_cmd: - my_cmd - foo - bar -Modules in current design: - absval_ref (4 wires, 2 cells) - -- Parsing `sigmap_test.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: sigmap_test.v - --- Parsing `absval_ref.v' using frontend `verilog' -- - -1. Executing Verilog-2005 frontend: absval_ref.v Parsing Verilog input from `sigmap_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. @@ -30954,6 +30971,10 @@ 2. Executing HIERARCHY pass (managing design hierarchy). +-- Parsing `absval_ref.v' using frontend `verilog' -- + +1. Executing Verilog-2005 frontend: absval_ref.v + 2.1. Analyzing design hierarchy.. Top module: \test @@ -30975,7 +30996,22 @@ Log message #7. Log message #8. Log message #9. -mv test0.log_new test0.log + +-- Parsing `absval_ref.v' using frontend `verilog' -- + +1. Executing Verilog-2005 frontend: absval_ref.v +Parsing Verilog input from `absval_ref.v' to AST representation. +Generating RTLIL representation for module `\absval_ref'. +Successfully finished Verilog frontend. + +-- Running command `my_cmd foo bar' -- +Arguments to my_cmd: + my_cmd + foo + bar +Modules in current design: + absval_ref (4 wires, 2 cells) +mv test2.log_new test2.log Parsing Verilog input from `absval_ref.v' to AST representation. Generating RTLIL representation for module `\absval_ref'. Successfully finished Verilog frontend. @@ -31042,7 +31078,7 @@ connect \Y \y end end -mv test2.log_new test2.log +mv test0.log_new test0.log mv test1.log_new test1.log make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' make[4]: Leaving directory '/build/reproducible-path/yosys-0.52/docs' @@ -31055,23 +31091,27 @@ make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' make[6]: Nothing to be done for 'dots'. make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' -../../../../yosys opt_share.ys -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' -../../../../yosys counter.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' ../../../../yosys macc_simple_test.ys +make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' +../../../../yosys scrambler.ys +make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' +../../../../yosys counter.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' +../../../../yosys scrambler.ys ../../../../yosys select.ys +make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' +../../../../yosys opt_share.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' ../../../../yosys -p 'prep -top splice_demo; show -format dot -prefix splice' splice.v +../../../../yosys counter.ys +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_parsetree.tex --interaction=nonstopmode +../../../../yosys macc_xilinx_test.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' make[6]: Nothing to be done for 'dots'. make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' -../../../../yosys scrambler.ys +../../../../yosys counter.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' -../../../../yosys opt_muxtree.ys ../../../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format dot' make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' ../../../../yosys red_or3x1_test.ys @@ -31090,6 +31130,10 @@ Writing dot description to `test1.dot'. Dumping module absval to page 1. +End of script. Logfile hash: 2e5f50e91f, CPU: user 0.00s system 0.00s, MEM: 9.27 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 91% 1x show (0 sec), 8% 1x test1 (0 sec) + /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | @@ -31097,13 +31141,20 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `opt_share.ys' -- +-- Executing script file `macc_xilinx_test.ys' -- -1. Executing Verilog-2005 frontend: < | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `select.ys' -- + +1. Executing Verilog-2005 frontend: select.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -31115,6 +31166,34 @@ -- Parsing `splice.v' using frontend ` -vlog2k' -- 1. Executing Verilog-2005 frontend: splice.v + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `macc_simple_test.ys' -- + +1. Executing Verilog-2005 frontend: macc_simple_test.v +Parsing Verilog input from `macc_xilinx_test.v' to AST representation. +Generating RTLIL representation for module `\test1'. +Generating RTLIL representation for module `\test2'. +Successfully finished Verilog frontend. + +2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `counter.ys' -- + +1. Executing Verilog-2005 frontend: counter.v Parsing Verilog input from `splice.v' to AST representation. Storing AST representation for module `$abstract\splice_demo'. Successfully finished Verilog frontend. @@ -31122,48 +31201,109 @@ -- Running command `prep -top splice_demo; show -format dot -prefix splice' -- 2. Executing PREP pass. -Parsing Verilog input from `< | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `select.ys' -- - -1. Executing Verilog-2005 frontend: select.v - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `macc_simple_test.ys' -- - -1. Executing Verilog-2005 frontend: macc_simple_test.v -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' -Parsing Verilog input from `counter.v' to AST representation. -Generating RTLIL representation for module `\counter'. +Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. +Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. -2. Executing HIERARCHY pass (managing design hierarchy). +4. Executing HIERARCHY pass (managing design hierarchy). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -31219,94 +31351,77 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `red_or3x1_test.ys' -- - -1. Executing Verilog-2005 frontend: red_or3x1_test.v - -2.1. Analyzing design hierarchy.. -Top module: \counter - -2.2. Analyzing design hierarchy.. -Top module: \counter -Removed 0 unused modules. - -3. Generating Graphviz representation of design. +-- Executing script file `counter.ys' -- - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +1. Executing Verilog-2005 frontend: counter.v +Writing dot description to `counter_00.dot'. +Dumping module counter to page 1. --- Executing script file `scrambler.ys' -- +4. Executing PROC pass (convert processes to netlists). -1. Executing Verilog-2005 frontend: scrambler.v -Parsing Verilog input from `macc_simple_test.v' to AST representation. +4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Parsing Verilog input from `proc_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). +Cleaned up 0 empty switches. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format dot' -- - --- Executing script file `proc_01.ys' -- - -1. Executing Verilog-2005 frontend: proc_01.v +4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). 2.1. Analyzing design hierarchy.. +Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. +Removed a total of 0 dead cases. + +4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Top module: \test 2.2. Analyzing design hierarchy.. - Found cells that share an operand and can be merged by moving the $mux $ternary$< -3. Executing TECHMAP pass (map to technology primitives). +4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test. +Removed a total of 0 dead cases. -3.1. Executing Verilog-2005 frontend: red_or3x1_map.v -Parsing Verilog input from `select.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 1 redundant assignment. +Promoted 0 assignments to connections. -2. Executing PREP pass. +3.4. Executing PROC_INIT pass (extract init attributes). -2.1. Executing HIERARCHY pass (managing design hierarchy). +3.5. Executing PROC_ARST pass (detect async resets in processes). +Found async reset \R in `\test.$proc$proc_01.v:2$1'. -2.1.1. Analyzing design hierarchy.. -Top module: \test +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Creating decoders for process `\counter.$proc$counter.v:6$1'. + 1/1: $0\count[1:0] -2.1.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. +4.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Converted 0 switches. + +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\test.$proc$proc_01.v:2$1'. + 1/1: $0\Q[0:0] + +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -31315,201 +31430,145 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `opt_muxtree.ys' -- - -1. Executing Verilog-2005 frontend: < | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -3.4. Executing PROC_INIT pass (extract init attributes). -Writing dot description to `opt_share_full.dot'. -Dumping module after to page 1. -Dumping module uut to page 2. +-- Executing script file `opt_share.ys' -- -3.5. Executing PROC_ARST pass (detect async resets in processes). +1. Executing Verilog-2005 frontend: < 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -4.5. Executing PROC_ARST pass (detect async resets in processes). - -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Parsing Verilog input from `red_or3x1_map.v' to AST representation. -Generating RTLIL representation for module `\$reduce_or'. +Parsing Verilog input from `< - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +2. Executing OPT_SHARE pass. +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -3.9. Executing PROC_DFF pass (convert process syncs to FFs). +3.2. Continuing show pass. Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. 1/1: $1\xs[31:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Creating decoders for process `\counter.$proc$counter.v:6$1'. - 1/1: $0\count[1:0] +Optimizing module test. + -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'. - created $dff cell `$procdff$8' with positive edge clock. -Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'. - created $dff cell `$procdff$9' with positive edge clock. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +-- Executing script file `scrambler.ys' -- -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +1. Executing Verilog-2005 frontend: scrambler.v +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' Optimizing module splice_demo. -Removing empty process `test.$proc$select.v:7$1'. -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). -Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. - created $adff cell `$procdff$4' with positive edge clock and positive level reset. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). 2.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Removing empty process `test.$proc$proc_01.v:2$1'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). - -4.9. Executing PROC_DFF pass (convert process syncs to FFs). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. - created $dff cell `$procdff$8' with positive edge clock. - -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. -Removing empty process `counter.$proc$counter.v:6$1'. -Cleaned up 2 empty switches. - -4.12. Executing OPT_EXPR pass (perform const folding). -Removed 0 unused cells and 1 unused wires. - -3. Generating Graphviz representation of design. +Removed 0 unused cells and 2 unused wires. -3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +5. Generating Graphviz representation of design. +Optimizing module counter. Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$12' with positive edge clock. Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. @@ -31518,69 +31577,85 @@ 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Writing dot description to `macc_simple_test_00a.dot'. +Dumping module test to page 1. Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. Removing empty process `scrambler.$proc$scrambler.v:6$1'. Cleaned up 1 empty switch. 3.12. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. +Parsing Verilog input from `scrambler.v' to AST representation. +Generating RTLIL representation for module `\scrambler'. Successfully finished Verilog frontend. -3.2. Continuing show pass. -Running muxtree optimizer on module \after.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. - dead port 2/2 on $mux $ternary$< -Removed 0 unused cells and 3 unused wires. +2. Executing HIERARCHY pass (managing design hierarchy). -3. Generating Graphviz representation of design. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `counter.ys' -- + +1. Executing Verilog-2005 frontend: counter.v + +3. Executing PROC pass (convert processes to netlists). + +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). + +4. Executing EXTRACT pass (map subcircuits to cells). + +5.2. Executing OPT_MERGE pass (detect identical cells). +Removed 0 unused cells and 2 unused wires. + +4. Generating Graphviz representation of design. +Cleaned up 0 empty switches. + +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. +Removed a total of 0 dead cases. + +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). + +4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Removed 0 redundant assignments. +Promoted 2 assignments to connections. + +3.4. Executing PROC_INIT pass (extract init attributes). + +3.5. Executing PROC_ARST pass (detect async resets in processes). + +3.6. Executing PROC_ROM pass (convert switches to ROMs). Finding unused cells or wires in module \splice_demo.. Removed 0 unused cells and 2 unused wires. +Converted 0 switches. -2.7. Executing CHECK pass (checking for obvious problems). -gvpack -u -o opt_share.dot opt_share_full.dot -Using template $paramod$53153ae57fdb25b25475408a64760d7986ecfb0e\$reduce_or for cells of type $reduce_or. -Optimizing module test. +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). + +2.7. Executing CHECK pass (checking for obvious problems). +Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. + 1/1: $1\xs[31:0] + +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). Checking module splice_demo... Found and reported 0 problems. 2.8. Executing OPT pass (performing simple optimizations). 2.8.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -Optimizing module counter. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `macc_simple_test_00a.dot'. -Dumping module test to page 1. - -2.3. Executing FUTURE pass. - -4. Executing EXTRACT pass (map subcircuits to cells). -Writing dot description to `opt_muxtree_full.dot'. -Dumping module after to page 1. -Dumping module uut to page 2. - -4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Using template $paramod$fe2472242c070f3b22e97f6c8c19c3569e575d97\$reduce_or for cells of type $reduce_or. - -End of script. Logfile hash: b6e098eb19, CPU: user 0.00s system 0.00s, MEM: 9.91 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 46% 1x clean (0 sec), 32% 1x show (0 sec), ... Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 4.2. Executing PROC pass (convert processes to netlists). +Writing dot description to `macc_xilinx_test1a.dot'. +Dumping module test1 to page 1. +Optimizing module test. + 4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. @@ -31596,40 +31671,115 @@ 4.2.5. Executing PROC_ARST pass (detect async resets in processes). +6. Generating Graphviz representation of design. + 4.2.6. Executing PROC_ROM pass (convert switches to ROMs). + +2.3. Executing FUTURE pass. Converted 0 switches. 4.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Optimizing module splice_demo. 4.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Writing dot description to `proc_01.dot'. +Dumping module test to page 1. +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + +3.9. Executing PROC_DFF pass (convert process syncs to FFs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). + +End of script. Logfile hash: 4fe5064e83, CPU: user 0.00s system 0.01s, MEM: 11.73 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 34% 1x opt_expr (0 sec), 23% 1x clean (0 sec), ... +Parsing Verilog input from `counter.v' to AST representation. +Generating RTLIL representation for module `\counter'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `red_or3x1_test.ys' -- + +1. Executing Verilog-2005 frontend: red_or3x1_test.v +Optimizing module splice_demo. +Top module: \counter + +2.2. Analyzing design hierarchy.. +Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. + created $dff cell `$procdff$12' with positive edge clock. +Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. + created $dff cell `$procdff$13' with positive edge clock. + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Top module: \counter +Removed 0 unused modules. +Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. +Removing empty process `scrambler.$proc$scrambler.v:6$1'. +Cleaned up 1 empty switch. + +3.12. Executing OPT_EXPR pass (perform const folding). + +3. Generating Graphviz representation of design. 2.8.2. Executing OPT_MERGE pass (detect identical cells). -Optimizing module scrambler. - +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -2.4. Executing OPT_EXPR pass (perform const folding). +5.6. Executing OPT_DFF pass (perform DFF optimizations). +Writing dot description to `macc_xilinx_test2a.dot'. +Dumping module test2 to page 1. 4.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Using template $paramod$1a3ccc6b2ad940e0a4ee2fb765a3adeb638fb7f0\$reduce_or for cells of type $reduce_or. -No more expansions possible. -Removed 0 unused cells and 2 unused wires. +Parsing Verilog input from `red_or3x1_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -4. Generating Graphviz representation of design. +2. Executing HIERARCHY pass (managing design hierarchy). +Optimizing module scrambler. + -4.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2.1. Analyzing design hierarchy.. +Top module: \test -4.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +2.2. Analyzing design hierarchy.. -4.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +7. Executing TECHMAP pass (map to technology primitives). +Top module: \test +Removed 0 unused modules. -5.2. Executing OPT_MERGE pass (detect identical cells). +3. Executing TECHMAP pass (map to technology primitives). + +7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v Finding identical cells in module `\splice_demo'. Removed a total of 0 cells. 2.8.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). + +3.1. Executing Verilog-2005 frontend: red_or3x1_map.v Running muxtree optimizer on module \splice_demo.. Creating internal representation of mux trees. No muxes found in this module. @@ -31640,82 +31790,72 @@ Performed a total of 0 changes. 2.8.5. Executing OPT_MERGE pass (detect identical cells). -Optimizing module test. -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +4.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +4.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Writing dot description to `proc_01.dot'. -Dumping module test to page 1. - Optimizing cells in module \counter. -Performed a total of 0 changes. +4.2.12. Executing OPT_EXPR pass (perform const folding). +Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). +Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). -5.5. Executing OPT_MERGE pass (detect identical cells). +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). + +2.4. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. +Generating RTLIL representation for module `\mul_swap_ports'. +Successfully finished Verilog frontend. + +7.2. Continuing TECHMAP pass. Finding identical cells in module `\splice_demo'. Removed a total of 0 cells. 2.8.6. Executing OPT_CLEAN pass (remove unused cells and wires). - -Removed 0 unused cells and 18 unused wires. - -4. Executing SPLITNETS pass (splitting up multi-bit signals). -Optimizing module macc_16_16_32. - -5. Generating Graphviz representation of design. - -4.3. Executing OPT_CLEAN pass (remove unused cells and wires). - -End of script. Logfile hash: 4fe5064e83, CPU: user 0.00s system 0.00s, MEM: 11.14 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x opt_expr (0 sec), 23% 1x clean (0 sec), ... +Optimizing module scrambler. + + Found cells that share an operand and can be merged by moving the $mux $ternary$< -4. Executing SUBMOD pass (moving cells to submodules as requested). +5.8. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \splice_demo.. 2.8.7. Executing OPT_EXPR pass (perform const folding). -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). +../../../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format dot' +Using template $paramod$cb31b7e2c27e209b1e5fc8ca3c6ec22d65eb4c07\mul_swap_ports for cells of type $mul. +Optimizing module macc_16_16_32. -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `red_or3x1.dot'. -Dumping module test to page 1. -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. - + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -4.4. Creating graphs for SubCircuit library. +-- Executing script file `memdemo.ys' -- -End of script. Logfile hash: d6e7304939, CPU: user 0.01s system 0.00s, MEM: 11.78 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 44% 1x techmap (0 sec), 23% 4x read_verilog (0 sec), ... -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 6 unused wires. - +1. Executing Verilog-2005 frontend: memdemo.v -2.6. Executing CHECK pass (checking for obvious problems). +4.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Removed 0 unused cells and 5 unused wires. + +4. Executing SUBMOD pass (moving cells to submodules as requested). +Optimizing module test. + +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module splice_demo. 2.8.8. Finished OPT passes. (There is nothing left to do.) @@ -31723,129 +31863,172 @@ 2.9. Executing WREDUCE pass (reducing word size of cells). 2.10. Executing OPT_CLEAN pass (remove unused cells and wires). -Checking module test... -Found and reported 0 problems. +Optimizing module counter. -2.7. Executing OPT pass (performing simple optimizations). +5.9. Rerunning OPT passes. (Maybe there is more to do..) -2.7.1. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. - +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Writing dot description to `opt_share_full.dot'. +Dumping module after to page 1. +Dumping module uut to page 2. +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -5.8. Executing OPT_EXPR pass (perform const folding). -../../../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format dot' -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. -4.5. Running solver from SubCircuit library. +5.12. Executing OPT_MERGE pass (detect identical cells). +No more expansions possible. +Removed 0 unused cells and 5 unused wires. + +4. Executing SUBMOD pass (moving cells to submodules as requested). Finding unused cells or wires in module \splice_demo.. 2.11. Executing MEMORY_COLLECT pass (generating $mem cells). 2.12. Executing OPT pass (performing simple optimizations). -2.12.1. Executing OPT_EXPR pass (perform const folding). -Solving for needle_macc_16_16_32 in haystack_test. -Found 1 matches. +End of script. Logfile hash: 7082042be4, CPU: user 0.00s system 0.01s, MEM: 10.78 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 53% 1x clean (0 sec), 23% 1x show (0 sec), ... -4.6. Substitute SubCircuits with cells. +2.12.1. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$8 +5.13. Executing OPT_DFF pass (perform DFF optimizations). +Finding unused cells or wires in module \macc_16_16_32.. +Removed 0 unused cells and 1 unused wires. + -4.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module test. +4.4. Creating graphs for SubCircuit library. +Using template $paramod$53153ae57fdb25b25475408a64760d7986ecfb0e\$reduce_or for cells of type $reduce_or. -2.7.2. Executing OPT_MERGE pass (detect identical cells). +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module splice_demo. -Optimizing module counter. 2.12.2. Executing OPT_MERGE pass (detect identical cells). +Using template $paramod$fe2472242c070f3b22e97f6c8c19c3569e575d97\$reduce_or for cells of type $reduce_or. -5.9. Rerunning OPT passes. (Maybe there is more to do..) +4.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. +Successfully finished Verilog frontend. -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2. Executing PREP pass. -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +2.1. Executing HIERARCHY pass (managing design hierarchy). -5.12. Executing OPT_MERGE pass (detect identical cells). -Warning: node n4 in graph[1] uut already defined -Some nodes will be renamed. -Finding identical cells in module `\test'. - -Removed a total of 1 cells. +2.1.1. Analyzing design hierarchy.. +Top module: \memdemo -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +2.1.2. Analyzing design hierarchy.. +Top module: \memdemo +Removed 0 unused modules. -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. +2.2. Executing PROC pass (convert processes to netlists). -2.7.5. Executing OPT_MERGE pass (detect identical cells). +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Finding unused cells or wires in module \counter.. + +5.15. Executing OPT_EXPR pass (perform const folding). +Cleaned up 0 empty switches. + +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. + +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Finding unused cells or wires in module \test.. +Removed 1 unused cells and 6 unused wires. Finding identical cells in module `\splice_demo'. Removed a total of 0 cells. 2.12.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. + -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Finding unused cells or wires in module \scrambler.. +2.6. Executing CHECK pass (checking for obvious problems). +Removed 0 redundant assignments. +Promoted 14 assignments to connections. -4.2. Continuing SUBMOD pass. -../../../../yosys sym_mul_test.ys -Removed 0 unused cells and 1 unused wires. +2.2.4. Executing PROC_INIT pass (extract init attributes). -5. Generating Graphviz representation of design. +2.2.5. Executing PROC_ARST pass (detect async resets in processes). -5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Finding identical cells in module `\test'. -Removed a total of 0 cells. +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Creating needle graph needle_macc_16_16_32. +Creating haystack graph haystack_test. -5.2. Continuing show pass. -Creating submodule xorshift32 (\xorshift32) of module \scrambler. - signal $1\xs[31:0]: input \n1 - signal $0\xs[31:0]: output \n2 - signal $xor$scrambler.v:9$3_Y: internal - signal $shr$scrambler.v:10$4_Y: internal - signal $xor$scrambler.v:10$5_Y: internal - signal $shl$scrambler.v:11$6_Y: internal - cell $xor$scrambler.v:9$3 ($xor) - cell $xor$scrambler.v:11$7 ($xor) - cell $xor$scrambler.v:10$5 ($xor) +4.5. Running solver from SubCircuit library. + +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Using template $paramod$1a3ccc6b2ad940e0a4ee2fb765a3adeb638fb7f0\$reduce_or for cells of type $reduce_or. +No more expansions possible. +Finding unused cells or wires in module \scrambler.. + +Removed 0 unused cells and 8 unused wires. + +8. Generating Graphviz representation of design. Finding unused cells or wires in module \splice_demo.. 2.12.4. Finished fast OPT passes. -5. Generating Graphviz representation of design. +4.2. Continuing SUBMOD pass. 2.13. Printing statistics. +Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$35' with positive edge clock. +Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$36' with positive edge clock. +Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$37' with positive edge clock. +Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$38' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$46' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$47' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$48' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$49' with positive edge clock. + +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +Solving for needle_macc_16_16_32 in haystack_test. +Found 1 matches. + +4.6. Substitute SubCircuits with cells. + +4.1. Executing OPT_CLEAN pass (remove unused cells and wires). + +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `memdemo.$proc$memdemo.v:11$7'. +Cleaned up 0 empty switches. + +2.2.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. === splice_demo === @@ -31866,178 +32049,223 @@ Checking module splice_demo... Found and reported 0 problems. -3. Generating Graphviz representation of design. -../../../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format dot' -Finding unused cells or wires in module \counter.. - -5.15. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `macc_simple_test_00b.dot'. -Dumping module test to page 1. - -6. Executing Verilog-2005 frontend: macc_simple_test_01.v -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 1 unused wires. - - -2.7.7. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `scrambler_p01.dot'. -Dumping module scrambler to page 1. - -6. Generating Graphviz representation of design. -Writing dot description to `splice.dot'. -Dumping module splice_demo to page 1. -Parsing Verilog input from `macc_simple_test_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -7. Executing HIERARCHY pass (managing design hierarchy). - -7.1. Analyzing design hierarchy.. -Top module: \test - -7.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -End of script. Logfile hash: 2eeb6955f1, CPU: user 0.01s system 0.00s, MEM: 12.11 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 35% 5x opt_expr (0 sec), 24% 4x opt_clean (0 sec), ... -Optimizing module counter. -Writing dot description to `scrambler_p02.dot'. -Dumping module xorshift32 to page 1. - 5.16. Finished OPT passes. (There is nothing left to do.) 6. Executing MEMORY pass. 6.1. Executing OPT_MEM pass (optimize memories). +Checking module test... +Found and reported 0 problems. + +2.7. Executing OPT pass (performing simple optimizations). Performed a total of 0 transformations. 6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -echo on -yosys> cd xorshift32 - -yosys [xorshift32]> rename n2 in -Renaming wire n2 to in in module xorshift32. - -yosys [xorshift32]> rename n1 out -Renaming wire n1 to out in module xorshift32. +3. Generating Graphviz representation of design. -yosys [xorshift32]> eval -set in 1 -show out +Match #0: (needle_macc_16_16_32 in haystack_test) + $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y + $const$0 -> $const$0 \Y:\Y + $const$1 -> $const$1 \Y:\Y + $const$x -> $const$x \Y:\Y + $const$z -> $const$z \Y:\Y + $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y + new cell: $extract$\macc_16_16_32$8 -7. Executing EVAL pass (evaluate the circuit given an input). -Optimizing module test. -Warning: node n2 in graph[1] uut already defined -Some nodes will be renamed. +2.7.1. Executing OPT_EXPR pass (perform const folding). +Creating submodule xorshift32 (\xorshift32) of module \scrambler. + signal $1\xs[31:0]: input \n1 + signal $0\xs[31:0]: output \n2 + signal $xor$scrambler.v:9$3_Y: internal + signal $shr$scrambler.v:10$4_Y: internal + signal $xor$scrambler.v:10$5_Y: internal + signal $shl$scrambler.v:11$6_Y: internal + cell $xor$scrambler.v:9$3 ($xor) + cell $xor$scrambler.v:11$7 ($xor) + cell $xor$scrambler.v:10$5 ($xor) +Writing dot description to `macc_xilinx_test1b.dot'. +Dumping module test1 to page 1. -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) +5. Generating Graphviz representation of design. -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +9. Generating Graphviz representation of design. +Writing dot description to `splice.dot'. +Dumping module splice_demo to page 1. +gvpack -u -o opt_share.dot opt_share_full.dot +Finding unused cells or wires in module \scrambler.. -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +4.2. Continuing SUBMOD pass. Performed a total of 0 transformations. 6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -Failed to evaluate signal \out: Missing value for \out. - -yosys [xorshift32]> eval -set in 270369 -show out - -8. Executing EVAL pass (evaluate the circuit given an input). 6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). - Optimizing cells in module \test. -Performed a total of 0 changes. +Optimizing module memdemo. -2.7.11. Executing OPT_MERGE pass (detect identical cells). -Removed 0 unused cells and 1 unused wires. +End of script. Logfile hash: 2eeb6955f1, CPU: user 0.01s system 0.00s, MEM: 12.66 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 36% 5x opt_expr (0 sec), 24% 4x opt_clean (0 sec), ... +Writing dot description to `macc_xilinx_test2b.dot'. +Dumping module test2 to page 1. -8. Generating Graphviz representation of design. -Failed to evaluate signal \out: Missing value for \out. +2.3. Executing FUTURE pass. +Writing dot description to `scrambler_p01.dot'. +Dumping module scrambler to page 1. + +Removed 0 unused cells and 18 unused wires. -yosys [xorshift32]> sat -set out 632435482 +4. Executing SPLITNETS pass (splitting up multi-bit signals). -9. Executing SAT pass (solving SAT problems in the circuit). +6. Generating Graphviz representation of design. +Creating submodule xorshift32 (\xorshift32) of module \scrambler. + signal $1\xs[31:0]: input \n1 + signal $0\xs[31:0]: output \n2 + signal $xor$scrambler.v:9$3_Y: internal + signal $shr$scrambler.v:10$4_Y: internal + signal $xor$scrambler.v:10$5_Y: internal + signal $shl$scrambler.v:11$6_Y: internal + cell $xor$scrambler.v:9$3 ($xor) + cell $xor$scrambler.v:11$7 ($xor) + cell $xor$scrambler.v:10$5 ($xor) -8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +5. Generating Graphviz representation of design. + +10. Executing TECHMAP pass (map to technology primitives). 6.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\test'. -Removed a total of 0 cells. -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. +10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v + +5. Generating Graphviz representation of design. + +5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v +Optimizing module test. + +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Parsing Verilog input from `red_or3x1_cells.v' to AST representation. +Generating RTLIL representation for module `\OR3X1'. Successfully finished Verilog frontend. -8.2. Continuing show pass. -../../../../yosys example.ys +5.2. Continuing show pass. +Removed 0 unused cells and 1 unused wires. + +5. Generating Graphviz representation of design. + +2.4. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `scrambler_p02.dot'. +Dumping module xorshift32 to page 1. + +5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Finding unused cells or wires in module \counter.. 6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Finding unused cells or wires in module \test.. +echo on -2.7.13. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `macc_simple_test_01a.dot'. -Dumping module test to page 1. -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_ast.tex --interaction=nonstopmode +yosys> cd xorshift32 -9. Executing EXTRACT pass (map subcircuits to cells). +yosys [xorshift32]> rename n2 in +Renaming wire n2 to in in module xorshift32. -6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +yosys [xorshift32]> rename n1 out +Renaming wire n1 to out in module xorshift32. -9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Performed a total of 0 transformations. +yosys [xorshift32]> eval -set in 1 -show out -6.9. Executing OPT_CLEAN pass (remove unused cells and wires). +7. Executing EVAL pass (evaluate the circuit given an input). Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. -9.2. Executing PROC pass (convert processes to netlists). +5.2. Continuing show pass. -9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Failed to evaluate signal \out: Missing value for \out. -9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +yosys [xorshift32]> eval -set in 270369 -show out -9.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +8. Executing EVAL pass (evaluate the circuit given an input). +Performed a total of 0 transformations. -9.2.4. Executing PROC_INIT pass (extract init attributes). +6.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\test'. + +Removed a total of 1 cells. -9.2.5. Executing PROC_ARST pass (detect async resets in processes). +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Writing dot description to `red_or3x1.dot'. +Dumping module test to page 1. +Failed to evaluate signal \out: Missing value for \out. -9.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. +yosys [xorshift32]> sat -set out 632435482 -9.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +9. Executing SAT pass (solving SAT problems in the circuit). +Optimizing module memdemo. +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -9.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Optimizing module test. +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. +Generating RTLIL representation for module `\mul_wrap'. +Generating RTLIL representation for module `\add_wrap'. +Successfully finished Verilog frontend. + +10.2. Continuing TECHMAP pass. + Optimizing cells in module \test. +Performed a total of 0 changes. + +2.7.5. Executing OPT_MERGE pass (detect identical cells). + +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). + +End of script. Logfile hash: d6e7304939, CPU: user 0.01s system 0.00s, MEM: 12.33 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 42% 1x techmap (0 sec), 22% 4x read_verilog (0 sec), ... +../../../../yosys example.ys +Writing dot description to `macc_simple_test_00b.dot'. +Dumping module test to page 1. Finding unused cells or wires in module \counter.. 6.10. Executing MEMORY_COLLECT pass (generating $mem cells). -2.7.14. Finished OPT passes. (There is nothing left to do.) +6. Executing Verilog-2005 frontend: macc_simple_test_01.v 6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 7. Executing OPT pass (performing simple optimizations). 7.1. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\test'. +Removed a total of 0 cells. -2.8. Executing WREDUCE pass (reducing word size of cells). +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `macc_simple_test_01.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +7. Executing HIERARCHY pass (managing design hierarchy). + +7.1. Analyzing design hierarchy.. +Top module: \test + +7.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. +Optimizing module counter. +../../../../yosys opt_muxtree.ys + +7.2. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \memdemo.. +Removed 12 unused cells and 26 unused wires. + + +2.6. Executing CHECK pass (checking for obvious problems). Setting up SAT problem: Import set-constraint: \out = 632435482 @@ -32045,31 +32273,97 @@ Imported 3 cells to SAT database. Solving problem with 665 variables and 1735 clauses.. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -9.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. -9.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +7.5. Executing OPT_MERGE pass (detect identical cells). +Checking module memdemo... +Found and reported 0 problems. -9.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +2.7. Executing OPT pass (performing simple optimizations). +Finding unused cells or wires in module \test.. +Removed 0 unused cells and 1 unused wires. + -9.2.12. Executing OPT_EXPR pass (perform const folding). -../../../../yosys opt_merge.ys +2.7.7. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +7.6. Executing OPT_DFF pass (perform DFF optimizations). --- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format dot' -- +2.7.1. Executing OPT_EXPR pass (perform const folding). +Removed 0 unused cells and 1 unused wires. --- Executing script file `proc_02.ys' -- +8. Generating Graphviz representation of design. -1. Executing Verilog-2005 frontend: proc_02.v +8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +../../../../yosys sym_mul_test.ys + +7.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. + +8.2. Continuing show pass. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format dot' -- + +-- Executing script file `proc_02.ys' -- + +1. Executing Verilog-2005 frontend: proc_02.v +Optimizing module memdemo. +Finding unused cells or wires in module \counter.. + +7.8. Executing OPT_EXPR pass (perform const folding). + +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Optimizing module test. + +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) + +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +SAT solving finished - model found: + + Signal Name Dec Hex Bin + --------------- ----------- --------- ----------------------------------- + \out 632435482 25b2331a 00100101101100100011001100011010 + +End of script. Logfile hash: c8ba6caa2d, CPU: user 0.02s system 0.00s, MEM: 13.28 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 23% 1x sat (0 sec), 17% 1x submod (0 sec), ... +Writing dot description to `macc_simple_test_01a.dot'. +Dumping module test to page 1. +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. +Performed a total of 0 changes. + +2.7.11. Executing OPT_MERGE pass (detect identical cells). + +9. Executing EXTRACT pass (map subcircuits to cells). Parsing Verilog input from `proc_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. @@ -32077,6 +32371,8 @@ 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. + +9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Top module: \test 2.2. Analyzing design hierarchy.. @@ -32086,267 +32382,133 @@ 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Optimizing module counter. Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Finding unused cells or wires in module \test.. Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). - -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). Removed 1 redundant assignment. Promoted 0 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). +Finding identical cells in module `\memdemo'. + +Removed a total of 4 cells. -2.11. Executing OPT pass (performing simple optimizations). +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -7.2. Executing OPT_MERGE pass (detect identical cells). +9.2. Executing PROC pass (convert processes to netlists). -2.11.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module macc_16_16_32. +9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found async reset \R in `\test.$proc$proc_02.v:3$1'. 3.6. Executing PROC_ROM pass (convert switches to ROMs). +Cleaned up 0 empty switches. + +9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -9.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Creating decoders for process `\test.$proc$proc_02.v:3$1'. - 1/1: $0\Q[0:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. +Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. - No muxes found in this module. + Evaluating internal representation of mux trees. + Analyzing evaluation results. Removed 0 multiplexer ports. - -7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -7.5. Executing OPT_MERGE pass (detect identical cells). -Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. -Warning: Async reset value `\RV' is not constant! - created $aldff cell `$procdff$4' with positive edge clock and positive level non-const reset. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$proc_02.v:3$1'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -2.11.2. Executing OPT_MERGE pass (detect identical cells). -SAT solving finished - model found: - - Signal Name Dec Hex Bin - --------------- ----------- --------- ----------------------------------- - \out 632435482 25b2331a 00100101101100100011001100011010 - -End of script. Logfile hash: c8ba6caa2d, CPU: user 0.01s system 0.00s, MEM: 13.36 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 24% 1x sat (0 sec), 18% 1x submod (0 sec), ... -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. -9.4. Creating graphs for SubCircuit library. -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). - -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module test. - -Finding unused cells or wires in module \counter.. - -7.8. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \test.. - -2.11.4. Finished fast OPT passes. -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. - -9.5. Running solver from SubCircuit library. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `sym_mul_test.ys' -- - -1. Executing Verilog-2005 frontend: sym_mul_test.v - -2.12. Printing statistics. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format dot' -- - --- Executing script file `proc_03.ys' -- - -1. Executing Verilog-2005 frontend: proc_03.v - -=== test === - - Number of wires: 9 - Number of wire bits: 114 - Number of public wires: 8 - Number of public wire bits: 98 - Number of ports: 4 - Number of port bits: 34 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 6 - $add 1 - $dff 2 - $mux 1 - $sub 1 - $xor 1 - -2.13. Executing CHECK pass (checking for obvious problems). -Solving for needle_macc_16_16_32 in haystack_test. -Found 1 matches. - -9.6. Substitute SubCircuits with cells. - -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$19 -Parsing Verilog input from `sym_mul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Removed a total of 0 dead cases. -2.1. Analyzing design hierarchy.. -Top module: \test +9.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. +9.2.4. Executing PROC_INIT pass (extract init attributes). -3. Executing TECHMAP pass (map to technology primitives). -Checking module test... -Found and reported 0 problems. +9.2.5. Executing PROC_ARST pass (detect async resets in processes). +Creating decoders for process `\test.$proc$proc_02.v:3$1'. + 1/1: $0\Q[0:0] -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -Removed 0 unused cells and 2 unused wires. +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -4. Generating Graphviz representation of design. -Parsing Verilog input from `proc_03.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +9.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -2. Executing HIERARCHY pass (managing design hierarchy). +9.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -2.1. Analyzing design hierarchy.. -Top module: \test +2.7.5. Executing OPT_MERGE pass (detect identical cells). -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. +9.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Optimizing module counter. -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - 7.9. Finished OPT passes. (There is nothing left to do.) -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). - 8. Executing FSM pass (extract and optimize FSM). 8.1. Executing FSM_DETECT pass (finding FSMs in design). -Converted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_03.v:3$1'. - 1/1: $0\Y[0:0] +Warning: node n4 in graph[1] uut already defined +Some nodes will be renamed. +Finding identical cells in module `\test'. +Removed a total of 0 cells. -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). -3.2. Continuing TECHMAP pass. -Writing dot description to `proc_02.dot'. -Dumping module test to page 1. -No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. +9.2.9. Executing PROC_DFF pass (convert process syncs to FFs). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). + +9.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 8.2. Executing FSM_EXTRACT pass (extracting FSM from design). -Warnings: 1 unique messages, 1 total -End of script. Logfile hash: c4b4f83334, CPU: user 0.00s system 0.00s, MEM: 11.33 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 28% 1x opt_expr (0 sec), 23% 1x clean (0 sec), ... +9.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +9.2.12. Executing OPT_EXPR pass (perform const folding). +Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. +Warning: Async reset value `\RV' is not constant! + created $aldff cell `$procdff$4' with positive edge clock and positive level non-const reset. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removed 0 unused cells and 1 unused wires. +Removing empty process `test.$proc$proc_02.v:3$1'. +Cleaned up 0 empty switches. -10. Generating Graphviz representation of design. +3.12. Executing OPT_EXPR pass (perform const folding). -3. Generating Graphviz representation of design. -../../../../yosys scrambler.ys +8.3. Executing FSM_OPT pass (simple optimizations of FSMs). -10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +8.4. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 4 unused wires. + -8.3. Executing FSM_OPT pass (simple optimizations of FSMs). -Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. -Removing empty process `test.$proc$proc_03.v:3$1'. -Cleaned up 2 empty switches. +2.7.7. Executing OPT_EXPR pass (perform const folding). +../../../../yosys memdemo.ys +Finding unused cells or wires in module \counter.. -3.12. Executing OPT_EXPR pass (perform const folding). +8.5. Executing FSM_OPT pass (simple optimizations of FSMs). -8.4. Executing OPT_CLEAN pass (remove unused cells and wires). +8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). + +8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). +Finding unused cells or wires in module \test.. + +8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -32358,83 +32520,67 @@ -- Executing script file `example.ys' -- 1. Executing Verilog-2005 frontend: example.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -10.2. Continuing show pass. -Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. -Writing dot description to `select.dot'. -Dumping module test to page 1. -Finding unused cells or wires in module \counter.. - -8.5. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). - -8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). -8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). +2.7.13. Executing OPT_EXPR pass (perform const folding). 9. Executing OPT pass (performing simple optimizations). 9.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module macc_16_16_32. +../../../../yosys opt_merge.ys -End of script. Logfile hash: f11d6793ac, CPU: user 0.01s system 0.00s, MEM: 12.12 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 30% 6x opt_expr (0 sec), 25% 5x opt_clean (0 sec), ... +9.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. Parsing Verilog input from `example.v' to AST representation. Generating RTLIL representation for module `\example'. Successfully finished Verilog frontend. 2. Generating Graphviz representation of design. -No more expansions possible. -Writing dot description to `macc_simple_test_01b.dot'. -Dumping module test to page 1. -Optimizing module test. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `opt_merge.ys' -- - -1. Executing Verilog-2005 frontend: < -12.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Parsing Verilog input from `< | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `opt_muxtree.ys' -- + +1. Executing Verilog-2005 frontend: < + +9.4. Creating graphs for SubCircuit library. + +2.8. Executing WREDUCE pass (reducing word size of cells). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Removed 0 unused cells and 4 unused wires. + Optimizing cells in module \counter. +Performed a total of 0 changes. -4. Generating Graphviz representation of design. +9.5. Executing OPT_MERGE pass (detect identical cells). +Optimizing module test. + Creating decoders for process `\example.$proc$example.v:3$1'. 1/1: $0\y[1:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -Removed 0 unused cells and 6 unused wires. - -4. Generating Graphviz representation of design. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -9.5. Executing OPT_MERGE pass (detect identical cells). -4.1. Executing Verilog-2005 frontend: sym_mul_cells.v +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Parsing Verilog input from `sym_mul_cells.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. +Parsing Verilog input from `< -Removed a total of 1 cells. -Removed 0 unused cells and 4 unused wires. - -3. Generating Graphviz representation of design. +Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\mul_wrap for cells of type $mul. +Optimizing module memdemo. Optimizing module example. 4. Generating Graphviz representation of design. -Writing dot description to `macc_simple_test_02a.dot'. -Dumping module test to page 1. -Finding unused cells or wires in module \counter.. - -9.8. Executing OPT_EXPR pass (perform const folding). +Creating needle graph needle_macc_16_16_32. +Creating haystack graph haystack_test. -14. Executing EXTRACT pass (map subcircuits to cells). +9.5. Running solver from SubCircuit library. +Removed 0 unused cells and 2 unused wires. -14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. +4. Generating Graphviz representation of design. -14.2. Executing PROC pass (convert processes to netlists). +2.7.14. Finished OPT passes. (There is nothing left to do.) -14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +2.8. Executing WREDUCE pass (reducing word size of cells). +Finding unused cells or wires in module \counter.. -14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +9.8. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \test.. +Running muxtree optimizer on module \after.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. + dead port 2/2 on $mux $ternary$< +Removed 0 unused cells and 3 unused wires. -14.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +3. Generating Graphviz representation of design. -14.2.4. Executing PROC_INIT pass (extract init attributes). +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). -14.2.5. Executing PROC_ARST pass (detect async resets in processes). -Writing dot description to `opt_merge_full.dot'. -Dumping module after to page 1. -Dumping module uut to page 2. +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -14.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. +2.11. Executing OPT pass (performing simple optimizations). -14.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +2.11.1. Executing OPT_EXPR pass (perform const folding). +Solving for needle_macc_16_16_32 in haystack_test. +Found 1 matches. -14.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +9.6. Substitute SubCircuits with cells. Writing dot description to `example_second.dot'. Dumping module example to page 1. @@ -32578,58 +32710,37 @@ 5.1. Executing OPT_EXPR pass (perform const folding). -14.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -End of script. Logfile hash: dedbdef5c2, CPU: user 0.00s system 0.00s, MEM: 10.05 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 39% 1x clean (0 sec), 24% 1x show (0 sec), ... - -14.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +Match #0: (needle_macc_16_16_32 in haystack_test) + $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y + $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y + new cell: $extract$\macc_16_16_32$19 +Writing dot description to `opt_muxtree_full.dot'. +Dumping module after to page 1. +Dumping module uut to page 2. +Writing dot description to `proc_02.dot'. +Dumping module test to page 1. +Finding unused cells or wires in module \memdemo.. +Optimizing module counter. -14.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -14.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +End of script. Logfile hash: b6e098eb19, CPU: user 0.00s system 0.00s, MEM: 10.68 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 45% 1x clean (0 sec), 32% 1x show (0 sec), ... 9.9. Finished OPT passes. (There is nothing left to do.) 10. Generating Graphviz representation of design. -../../../../yosys mymul_test.ys -Optimizing module macc_16_16_32. -Optimizing module example. - -5.2. Executing OPT_MERGE pass (detect identical cells). - -14.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `counter_01.dot'. -Dumping module counter to page 1. - -11. Executing TECHMAP pass (map to technology primitives). - -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v -Finding identical cells in module `\example'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \example.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. - dead port 2/2 on $mux $ternary$example.v:5$3. -Removed 1 multiplexer ports. - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \example. -Performed a total of 0 changes. +Warnings: 1 unique messages, 1 total +End of script. Logfile hash: c4b4f83334, CPU: user 0.01s system 0.00s, MEM: 11.91 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 34% 1x opt_expr (0 sec), 17% 1x clean (0 sec), ... -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. - +2.11. Executing OPT pass (performing simple optimizations). -14.4. Creating graphs for SubCircuit library. +2.11.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -32638,89 +32749,74 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `scrambler.ys' -- +-- Executing script file `sym_mul_test.ys' -- -1. Executing Verilog-2005 frontend: scrambler.v -Finding identical cells in module `\example'. -Removed a total of 0 cells. +1. Executing Verilog-2005 frontend: sym_mul_test.v -5.6. Executing OPT_DFF pass (perform DFF optimizations). -gvpack -u -o opt_merge.dot opt_merge_full.dot -Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `counter_01.dot'. +Dumping module counter to page 1. +Optimizing module example. -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. +5.2. Executing OPT_MERGE pass (detect identical cells). -14.5. Running solver from SubCircuit library. -Parsing Verilog input from `scrambler.v' to AST representation. -Generating RTLIL representation for module `\scrambler'. +11. Executing TECHMAP pass (map to technology primitives). + +11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v +Optimizing module memdemo. + +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Parsing Verilog input from `sym_mul_test.v' to AST representation. +Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). +Removed 0 unused cells and 1 unused wires. -3. Executing PROC pass (convert processes to netlists). +10. Generating Graphviz representation of design. -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +2.1. Analyzing design hierarchy.. -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. -Removed a total of 0 dead cases. +10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Finding identical cells in module `\test'. +Removed a total of 0 cells. -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 2 assignments to connections. +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Top module: \test -3.4. Executing PROC_INIT pass (extract init attributes). +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. +Finding identical cells in module `\example'. +Removed a total of 0 cells. -3.5. Executing PROC_ARST pass (detect async resets in processes). +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Solving for needle_macc_16_16_32 in haystack_test. -Found 2 matches. +3. Executing TECHMAP pass (map to technology primitives). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -14.6. Substitute SubCircuits with cells. -Converted 0 switches. +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. + dead port 2/2 on $mux $ternary$example.v:5$3. +Removed 1 multiplexer ports. -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. - 1/1: $1\xs[31:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -../../../../yosys opt_expr.ys -../../../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format dot' - -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$30 - -Match #1: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$31 - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Finding unused cells or wires in module \example.. -Removed 1 unused cells and 4 unused wires. -Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. - created $dff cell `$procdff$12' with positive edge clock. -Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. - created $dff cell `$procdff$13' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -5.8. Executing OPT_EXPR pass (perform const folding). +3.1. Executing Verilog-2005 frontend: sym_mul_map.v + Optimizing cells in module \example. +Performed a total of 0 changes. -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. -Removing empty process `scrambler.$proc$scrambler.v:6$1'. -Cleaned up 1 empty switch. +5.5. Executing OPT_MERGE pass (detect identical cells). +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -3.12. Executing OPT_EXPR pass (perform const folding). +10.2. Continuing show pass. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -32729,9 +32825,9 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `example.ys' -- +-- Executing script file `opt_merge.ys' -- -1. Executing Verilog-2005 frontend: example.v +1. Executing Verilog-2005 frontend: < -Writing dot description to `macc_simple_test_02b.dot'. -Dumping module test to page 1. - -16. Executing Verilog-2005 frontend: macc_simple_xmap.v +gvpack -u -o opt_muxtree.dot opt_muxtree_full.dot Finding identical cells in module `\example'. Removed a total of 0 cells. -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Writing dot description to `example_first.dot'. -Dumping module example to page 1. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. +5.6. Executing OPT_DFF pass (perform DFF optimizations). +Parsing Verilog input from `sym_mul_map.v' to AST representation. +Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. -17. Executing HIERARCHY pass (managing design hierarchy). -Removed a total of 0 dead cases. +3.2. Continuing TECHMAP pass. +Finding unused cells or wires in module \memdemo.. -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +2.11.4. Finished fast OPT passes. -17.1. Analyzing design hierarchy.. -Top module: \macc_16_16_32 +2.12. Printing statistics. +Parsing Verilog input from `< +2.13. Executing CHECK pass (checking for obvious problems). +Writing dot description to `macc_simple_test_01b.dot'. +Dumping module test to page 1. +Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\example.$proc$example.v:3$1'. - 1/1: $0\y[1:0] +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +11. Executing Verilog-2005 frontend: macc_simple_test_02.v +Finding unused cells or wires in module \test.. +Checking module memdemo... +Found and reported 0 problems. -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +3. Executing MEMORY pass. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +3.1. Executing OPT_MEM pass (optimize memories). --- Executing script file `mymul_test.ys' -- +2.11.4. Finished fast OPT passes. +Performed a total of 0 transformations. -1. Executing Verilog-2005 frontend: mymul_test.v +3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Warning: node n1 in graph[1] uut already defined -Some nodes will be renamed. -Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. - created $dff cell `$procdff$6' with positive edge clock. +2.12. Printing statistics. +Using template $paramod$48197a291a9e3825142389e9d2e41385cae2467c\mul_wrap for cells of type $mul. +Parsing Verilog input from `macc_simple_test_02.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +12. Executing HIERARCHY pass (managing design hierarchy). -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. -Removing empty process `example.$proc$example.v:3$1'. -Cleaned up 1 empty switch. +12.1. Analyzing design hierarchy.. -3.12. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `mymul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +=== test === -2. Executing HIERARCHY pass (managing design hierarchy). + Number of wires: 9 + Number of wire bits: 114 + Number of public wires: 8 + Number of public wire bits: 98 + Number of ports: 4 + Number of port bits: 34 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 6 + $add 1 + $dff 2 + $mux 1 + $sub 1 + $xor 1 -2.1. Analyzing design hierarchy.. +2.13. Executing CHECK pass (checking for obvious problems). Top module: \test -2.2. Analyzing design hierarchy.. +12.2. Analyzing design hierarchy.. +../../../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format dot' Top module: \test Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: sym_mul_map.v +No more expansions possible. Finding unused cells or wires in module \example.. +Removed 1 unused cells and 4 unused wires. + -5.15. Executing OPT_EXPR pass (perform const folding). -Removed 0 unused cells and 1 unused wires. - -18. Generating Graphviz representation of design. +5.8. Executing OPT_EXPR pass (perform const folding). +Checking module test... +Found and reported 0 problems. Parsing Verilog input from `memdemo.v' to AST representation. Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. 2. Executing PREP pass. +Finding identical cells in module `\after'. + +Removed a total of 1 cells. +Removed 0 unused cells and 4 unused wires. + +3. Generating Graphviz representation of design. 2.1. Executing HIERARCHY pass (managing design hierarchy). +Performed a total of 6 transformations. -2.1.1. Analyzing design hierarchy.. -Removed 0 unused cells and 5 unused wires. +3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -4. Executing SUBMOD pass (moving cells to submodules as requested). +2.1.1. Analyzing design hierarchy.. Top module: \memdemo 2.1.2. Analyzing design hierarchy.. + Analyzing memdemo.mem write port 0. + Analyzing memdemo.mem write port 1. + Analyzing memdemo.mem write port 2. + Analyzing memdemo.mem write port 3. + Analyzing memdemo.mem write port 4. + +3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). Top module: \memdemo Removed 0 unused modules. +3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). + 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Executing Verilog-2005 frontend: mymul_map.v Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). @@ -32909,6 +32990,7 @@ Promoted 14 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). +Optimizing module example. 2.2.5. Executing PROC_ARST pass (detect async resets in processes). @@ -32916,32 +32998,52 @@ Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Optimizing module example. -Writing dot description to `macc_simple_xmap.dot'. -Dumping module macc_16_16_32 to page 1. +Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -4. Generating Graphviz representation of design. +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \example. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). +Removed 0 unused cells and 1 unused wires. + +13. Generating Graphviz representation of design. +Writing dot description to `opt_merge_full.dot'. +Dumping module after to page 1. +Dumping module uut to page 2. + +13.1. Executing Verilog-2005 frontend: macc_simple_xmap.v 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -End of script. Logfile hash: a39cb7f441, CPU: user 0.02s system 0.00s, MEM: 11.95 MB peak +End of script. Logfile hash: dedbdef5c2, CPU: user 0.00s system 0.00s, MEM: 10.87 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 26% 7x clean (0 sec), 18% 7x show (0 sec), ... -Optimizing module example. -Parsing Verilog input from `mymul_map.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. +Time spent: 37% 1x clean (0 sec), 25% 1x show (0 sec), ... +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. -3.3. Continuing TECHMAP pass. +13.2. Continuing show pass. + +Removed 0 unused cells and 6 unused wires. -5.16. Finished OPT passes. (There is nothing left to do.) +4. Generating Graphviz representation of design. +Finding identical cells in module `\example'. +Removed a total of 0 cells. -6. Generating Graphviz representation of design. -Writing dot description to `example_second.dot'. -Dumping module example to page 1. +5.13. Executing OPT_DFF pass (perform DFF optimizations). + +4.1. Executing Verilog-2005 frontend: sym_mul_cells.v Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. @@ -32975,302 +33077,282 @@ 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). - 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). + +3. Generating Graphviz representation of design. Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\$mul for cells of type $mul. -Writing dot description to `example_third.dot'. -Dumping module example to page 1. -../../../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format dot' - -End of script. Logfile hash: 1968fcbfd1, CPU: user 0.00s system 0.00s, MEM: 12.57 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 33% 4x opt_expr (0 sec), 18% 3x show (0 sec), ... - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format dot' -- --- Executing script file `memory_01.ys' -- +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `sym_mul_cells.v' to AST representation. +Generating RTLIL representation for module `\MYMUL'. +Successfully finished Verilog frontend. -1. Executing Verilog-2005 frontend: memory_01.v +4.2. Continuing show pass. +Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. + Write port 0: non-transparent. + Write port 1: non-transparent. + Write port 2: non-transparent. + Write port 3: non-transparent. + Write port 4: non-transparent. +Checking read port `\mem'[1] in module `\memdemo': no output FF found. +Checking read port `\mem'[2] in module `\memdemo': no output FF found. +Checking read port `\mem'[3] in module `\memdemo': no output FF found. +Checking read port `\mem'[4] in module `\memdemo': no output FF found. +Checking read port address `\mem'[1] in module `\memdemo': no address FF found. +Checking read port address `\mem'[2] in module `\memdemo': no address FF found. +Checking read port address `\mem'[3] in module `\memdemo': no address FF found. +Checking read port address `\mem'[4] in module `\memdemo': no address FF found. -4.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module example. -Optimizing module memdemo. +3.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Writing dot description to `macc_simple_test_02a.dot'. +Dumping module test to page 1. -5.2. Executing OPT_MERGE pass (detect identical cells). +14. Executing EXTRACT pass (map subcircuits to cells). -2.3. Executing FUTURE pass. -Finding identical cells in module `\example'. -Removed a total of 0 cells. +14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Finding unused cells or wires in module \example.. -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Parsing Verilog input from `memory_01.v' to AST representation. -Generating RTLIL representation for module `\test'. +5.15. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Running muxtree optimizer on module \example.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. - dead port 2/2 on $mux $ternary$example.v:5$3. -Removed 1 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - -3. Executing PROC pass (convert processes to netlists). +14.2. Executing PROC pass (convert processes to netlists). -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). - Optimizing cells in module \example. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). +14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +14.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. -Promoted 4 assignments to connections. +Promoted 0 assignments to connections. -3.4. Executing PROC_INIT pass (extract init attributes). +14.2.4. Executing PROC_INIT pass (extract init attributes). +Writing dot description to `sym_mul.dot'. +Dumping module test to page 1. -3.5. Executing PROC_ARST pass (detect async resets in processes). +14.2.5. Executing PROC_ARST pass (detect async resets in processes). -3.6. Executing PROC_ROM pass (convert switches to ROMs). +14.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$memory_01.v:5$2'. - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Finding unused cells or wires in module \scrambler.. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `opt_expr.ys' -- +14.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -1. Executing Verilog-2005 frontend: < -4.2. Continuing SUBMOD pass. +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -2.4. Executing OPT_EXPR pass (perform const folding). +2.3. Executing FUTURE pass. +gvpack -u -o opt_merge.dot opt_merge_full.dot +Warning: node n2 in graph[1] uut already defined -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Finding identical cells in module `\example'. -Removed a total of 0 cells. +End of script. Logfile hash: 28982f840f, CPU: user 0.01s system 0.00s, MEM: 11.71 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 30% 1x clean (0 sec), 27% 4x read_verilog (0 sec), ... +Some nodes will be renamed. -5.6. Executing OPT_DFF pass (perform DFF optimizations). -Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$7' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$8' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$9' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$10' with positive edge clock. +14.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +14.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$memory_01.v:5$2'. +14.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. -3.12. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `< +3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. -5.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module after. - -Writing dot description to `scrambler_p02.dot'. -Dumping module xorshift32 to page 1. -Removed 3 unused cells and 7 unused wires. +3.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Writing dot description to `example_third.dot'. +Dumping module example to page 1. +../../../../yosys opt_expr.ys -4. Executing MEMORY pass. -../../../../yosys macc_xilinx_test.ys +End of script. Logfile hash: 1968fcbfd1, CPU: user 0.01s system 0.00s, MEM: 12.72 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 37% 4x opt_expr (0 sec), 16% 3x show (0 sec), ... +Optimizing module macc_16_16_32. -4.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. +14.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. -4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -echo on +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 5 unused wires. + -yosys> cd xorshift32 +3.10. Executing MEMORY_COLLECT pass (generating $mem cells). -yosys [xorshift32]> rename n2 in -Renaming wire n2 to in in module xorshift32. +3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +../../../../yosys mymul_test.ys +Mapping memory \mem in module \memdemo: + created 4 $dff cells and 0 static cells of width 4. +Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] + read interface: 1 $dff and 3 $mux cells. + write interface: 12 write mux blocks. -yosys [xorshift32]> rename n1 out -Renaming wire n1 to out in module xorshift32. +4. Executing OPT pass (performing simple optimizations). -yosys [xorshift32]> eval -set in 1 -show out +4.1. Executing OPT_EXPR pass (perform const folding). +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_abstractions.tex --interaction=nonstopmode +Finding unused cells or wires in module \macc_16_16_32.. +Removed 0 unused cells and 1 unused wires. + -7. Executing EVAL pass (evaluate the circuit given an input). +14.4. Creating graphs for SubCircuit library. +../../../../yosys example.ys Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). -Failed to evaluate signal \out: Missing value for \out. +Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\add_wrap for cells of type $add. +No more expansions possible. +Checking module memdemo... +Found and reported 0 problems. -yosys [xorshift32]> eval -set in 270369 -show out +2.7. Executing OPT pass (performing simple optimizations). -8. Executing EVAL pass (evaluate the circuit given an input). -Performed a total of 0 transformations. +2.7.1. Executing OPT_EXPR pass (perform const folding). + -4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - Analyzing test.mem write port 0. +11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). +Optimizing module memdemo. + -4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Failed to evaluate signal \out: Missing value for \out. +-- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format dot' -- -yosys [xorshift32]> sat -set out 632435482 +-- Executing script file `proc_03.ys' -- -9. Executing SAT pass (solving SAT problems in the circuit). -Optimizing module example. +1. Executing Verilog-2005 frontend: proc_03.v -5.9. Rerunning OPT passes. (Maybe there is more to do..) +4.2. Executing OPT_MERGE pass (detect identical cells). +Creating needle graph needle_macc_16_16_32. +Creating haystack graph haystack_test. -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \example.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +14.5. Running solver from SubCircuit library. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \example. -Performed a total of 0 changes. +4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Optimizing module memdemo. +Parsing Verilog input from `proc_03.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -5.12. Executing OPT_MERGE pass (detect identical cells). -Removed 0 unused cells and 4 unused wires. +2. Executing HIERARCHY pass (managing design hierarchy). -3. Generating Graphviz representation of design. -Checking module memdemo... -Found and reported 0 problems. +2.1. Analyzing design hierarchy.. +Top module: \test -2.7. Executing OPT pass (performing simple optimizations). +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. -2.7.1. Executing OPT_EXPR pass (perform const folding). -Finding identical cells in module `\example'. -Removed a total of 0 cells. +2.7.2. Executing OPT_MERGE pass (detect identical cells). -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Checking read port `\mem'[0] in module `\test': merging output FF to cell. - Write port 0: non-transparent. +3. Executing PROC pass (convert processes to netlists). -4.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Using template $paramod\MYMUL\WIDTH=32'00000000000000000000000000000010 for cells of type MYMUL. -No more expansions possible. +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `opt_expr_full.dot'. -Dumping module after to page 1. -Dumping module uut to page 2. -Optimizing module memdemo. -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 9 unused wires. +4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Cleaned up 0 empty switches. -2.7.2. Executing OPT_MERGE pass (detect identical cells). - +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -End of script. Logfile hash: 1d1df32b64, CPU: user 0.01s system 0.00s, MEM: 9.67 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 42% 1x opt_expr (0 sec), 24% 1x clean (0 sec), ... -Finding unused cells or wires in module \example.. +3.4. Executing PROC_INIT pass (extract init attributes). +Solving for needle_macc_16_16_32 in haystack_test. +Found 2 matches. -5.15. Executing OPT_EXPR pass (perform const folding). - -Removed 0 unused cells and 10 unused wires. -Renaming module \test to \test_mapped. +14.6. Substitute SubCircuits with cells. -4. Executing Verilog-2005 frontend: mymul_test.v +3.5. Executing PROC_ARST pass (detect async resets in processes). -4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. +3.6. Executing PROC_ROM pass (convert switches to ROMs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -4.9. Executing OPT_CLEAN pass (remove unused cells and wires). +4.5. Executing OPT_MERGE pass (detect identical cells). +Converted 0 switches. + -Setting up SAT problem: -Import set-constraint: \out = 632435482 -Final constraint equation: \out = 632435482 -Imported 3 cells to SAT database. +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\test.$proc$proc_03.v:3$1'. + 1/1: $0\Y[0:0] -Solving problem with 665 variables and 1735 clauses.. -Parsing Verilog input from `mymul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. -5. Executing MITER pass (creating miter circuit). +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +4.6. Executing OPT_DFF pass (perform DFF optimizations). Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". -6. Executing FLATTEN pass (flatten design). +Match #0: (needle_macc_16_16_32 in haystack_test) + $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y + $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y + new cell: $extract$\macc_16_16_32$30 + +Match #1: (needle_macc_16_16_32 in haystack_test) + $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y + $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y + new cell: $extract$\macc_16_16_32$31 Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -33279,6 +33361,57 @@ 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. + +2.7.5. Executing OPT_MERGE pass (detect identical cells). + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. +Removing empty process `test.$proc$proc_03.v:3$1'. +Cleaned up 2 empty switches. + +3.12. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). + +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module test. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `opt_expr.ys' -- + +1. Executing Verilog-2005 frontend: < + +2.7.7. Executing OPT_EXPR pass (perform const folding). +Removed 0 unused cells and 2 unused wires. + +15. Generating Graphviz representation of design. + +15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 28 unused wires. + + +4.8. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `< - -7. Executing SAT pass (solving SAT problems in the circuit). -Finding unused cells or wires in module \test.. +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -4.10. Executing MEMORY_COLLECT pass (generating $mem cells). +15.2. Continuing show pass. +Warning: node n1 in graph[1] uut already defined +Some nodes will be renamed. Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. - -4.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -Mapping memory \mem in module \test: - created 2 $dff cells and 0 static cells of width 8. -Extracted data FF from read port 0 of test.mem: $\mem$rdreg[0] - read interface: 1 $dff and 1 $mux cells. - write interface: 2 write mux blocks. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module example. -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). - -5.16. Finished OPT passes. (There is nothing left to do.) - -6. Generating Graphviz representation of design. -gvpack -u -o opt_expr.dot opt_expr_full.dot +Optimizing module memdemo. Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using extmapper simplemap for cells of type $sdffe. -Setting up SAT problem: -Final constraint equation: { } = { } -Imported 9 cells to SAT database. -Import proof-constraint: \trigger = 1'0 -Final proof equation: \trigger = 1'0 - -Solving problem with 107 variables and 283 clauses.. -Writing dot description to `example_third.dot'. -Dumping module example to page 1. -SAT solving finished - model found: - - Signal Name Dec Hex Bin - --------------- ----------- --------- ----------------------------------- - \out 632435482 25b2331a 00100101101100100011001100011010 - -End of script. Logfile hash: c8ba6caa2d, CPU: user 0.01s system 0.00s, MEM: 13.30 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 24% 1x sat (0 sec), 18% 1x submod (0 sec), ... - -End of script. Logfile hash: 1968fcbfd1, CPU: user 0.01s system 0.00s, MEM: 12.50 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 34% 4x opt_expr (0 sec), 17% 3x show (0 sec), ... -SAT proof finished - no model found: SUCCESS! - - /$$$$$$ /$$$$$$$$ /$$$$$$$ - /$$__ $$ | $$_____/ | $$__ $$ - | $$ \ $$ | $$ | $$ \ $$ - | $$ | $$ | $$$$$ | $$ | $$ - | $$ | $$ | $$__/ | $$ | $$ - | $$/$$ $$ | $$ | $$ | $$ - | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ - \____ $$$|__/|________/|__/|_______/|__/ - \__/ +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) -8. Executing SPLITNETS pass (splitting up multi-bit signals). -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 4 unused wires. +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. -2.7.7. Executing OPT_EXPR pass (perform const folding). - -9. Generating Graphviz representation of design. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `example.ys' -- - -1. Executing Verilog-2005 frontend: example.v -Optimizing module test. - +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Removed 0 unused cells and 4 unused wires. -5.2. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `mymul.dot'. -Dumping module test_mapped to page 1. +4. Generating Graphviz representation of design. +Writing dot description to `macc_simple_test_02b.dot'. +Dumping module test to page 1. + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -End of script. Logfile hash: 449524bce2, CPU: user 0.00s system 0.00s, MEM: 13.64 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 2x clean (0 sec), 16% 1x techmap (0 sec), ... -Parsing Verilog input from `example.v' to AST representation. -Generating RTLIL representation for module `\example'. -Successfully finished Verilog frontend. +2.7.11. Executing OPT_MERGE pass (detect identical cells). -2. Generating Graphviz representation of design. +16. Executing Verilog-2005 frontend: macc_simple_xmap.v Optimizing module memdemo. -Finding identical cells in module `\test'. -Removed a total of 0 cells. -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +4.9. Rerunning OPT passes. (Maybe there is more to do..) -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) +4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +17. Executing HIERARCHY pass (managing design hierarchy). -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +17.1. Analyzing design hierarchy.. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. - + -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. +4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Top module: \macc_16_16_32 -5.5. Executing OPT_MERGE pass (detect identical cells). +17.2. Analyzing design hierarchy.. +Top module: \macc_16_16_32 +Removed 0 unused modules. Optimizing cells in module \memdemo. Performed a total of 0 changes. -2.7.11. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). +4.12. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `proc_03.dot'. +Dumping module test to page 1. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. +Optimizing module after. + +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_flow.tex --interaction=nonstopmode + +End of script. Logfile hash: 5a538b5f7f, CPU: user 0.00s system 0.01s, MEM: 12.03 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 25% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -33462,12 +33527,13 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `macc_xilinx_test.ys' -- +-- Executing script file `example.ys' -- -1. Executing Verilog-2005 frontend: macc_xilinx_test.v -../../../../yosys cmos.ys +1. Executing Verilog-2005 frontend: example.v +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +4.13. Executing OPT_DFF pass (perform DFF optimizations). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -33476,80 +33542,76 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format dot' -- - --- Executing script file `memory_02.ys' -- +-- Executing script file `mymul_test.ys' -- -1. Executing Verilog-2005 frontend: memory_02.v -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' -Writing dot description to `example_first.dot'. -Dumping module example to page 1. +1. Executing Verilog-2005 frontend: mymul_test.v Finding unused cells or wires in module \memdemo.. 2.7.13. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `example.v' to AST representation. +Generating RTLIL representation for module `\example'. +Successfully finished Verilog frontend. -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +2. Generating Graphviz representation of design. -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `mymul_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -3.4. Executing PROC_INIT pass (extract init attributes). +2. Executing HIERARCHY pass (managing design hierarchy). +Removed 0 unused cells and 4 unused wires. -3.5. Executing PROC_ARST pass (detect async resets in processes). +3. Generating Graphviz representation of design. +Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } +Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } +Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } +Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } +Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap19$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } +Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } +Removed 0 unused cells and 56 unused wires. -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - +12. Generating Graphviz representation of design. +Removed 0 unused cells and 1 unused wires. -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\example.$proc$example.v:3$1'. - 1/1: $0\y[1:0] +18. Generating Graphviz representation of design. -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Parsing Verilog input from `macc_xilinx_test.v' to AST representation. -Generating RTLIL representation for module `\test1'. -Generating RTLIL representation for module `\test2'. -Successfully finished Verilog frontend. +2.1. Analyzing design hierarchy.. +Top module: \test -2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 6 unused wires. - +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. -5.8. Executing OPT_EXPR pass (perform const folding). +3. Executing TECHMAP pass (map to technology primitives). -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. - created $dff cell `$procdff$6' with positive edge clock. +3.1. Executing Verilog-2005 frontend: sym_mul_map.v +Optimizing module memdemo. +Writing dot description to `opt_expr_full.dot'. +Dumping module after to page 1. +Dumping module uut to page 2. +Parsing Verilog input from `sym_mul_map.v' to AST representation. +Generating RTLIL representation for module `\$mul'. +Successfully finished Verilog frontend. -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +3.2. Executing Verilog-2005 frontend: mymul_map.v +Finding unused cells or wires in module \memdemo.. -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. -Removing empty process `example.$proc$example.v:3$1'. -Cleaned up 1 empty switch. +2.7.14. Finished OPT passes. (There is nothing left to do.) -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module memdemo. +4.15. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `macc_simple_xmap.dot'. +Dumping module macc_16_16_32 to page 1. -2.7.14. Finished OPT passes. (There is nothing left to do.) -Warning: node n1 in graph[1] uut already defined -Some nodes will be renamed. +End of script. Logfile hash: 1d1df32b64, CPU: user 0.01s system 0.00s, MEM: 11.18 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 45% 1x opt_expr (0 sec), 28% 1x clean (0 sec), ... 2.8. Executing WREDUCE pass (reducing word size of cells). -Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. -Generating RTLIL representation for module `$__mul_wrapper'. -Generating RTLIL representation for module `$__add_wrapper'. -Successfully finished Verilog frontend. +Writing dot description to `macc_xilinx_test1c.dot'. +Dumping module test1 to page 1. -3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v +13. Generating Graphviz representation of design. Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). @@ -33560,204 +33622,74 @@ Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module test. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. -Generating RTLIL representation for module `\DSP48_MACC'. -Successfully finished Verilog frontend. -4. Executing HIERARCHY pass (managing design hierarchy). -Parsing Verilog input from `memory_02.v' to AST representation. -Generating RTLIL representation for module `\test'. +End of script. Logfile hash: a39cb7f441, CPU: user 0.03s system 0.00s, MEM: 11.96 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 28% 7x clean (0 sec), 17% 3x extract (0 sec), ... +../../../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format dot' +Parsing Verilog input from `mymul_map.v' to AST representation. +Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Optimizing module example. -Top module: \test -Removed 0 unused modules. - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). - -4. Generating Graphviz representation of design. -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$memory_02.v:17$10 in module test. -Marked 1 switch rules as full_case in process $proc$memory_02.v:13$3 in module test. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 8 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Creating decoders for process `\test.$proc$memory_02.v:24$19'. -Creating decoders for process `\test.$proc$memory_02.v:21$17'. -Creating decoders for process `\test.$proc$memory_02.v:17$10'. - 1/3: $1$memwr$\memory$memory_02.v:19$2_EN[7:0]$16 - 2/3: $1$memwr$\memory$memory_02.v:19$2_DATA[7:0]$15 - 3/3: $1$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$14 -Creating decoders for process `\test.$proc$memory_02.v:13$3'. - 1/3: $1$memwr$\memory$memory_02.v:15$1_EN[7:0]$9 - 2/3: $1$memwr$\memory$memory_02.v:15$1_DATA[7:0]$8 - 3/3: $1$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$7 - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +3.3. Continuing TECHMAP pass. +Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\$mul for cells of type $mul. Finding unused cells or wires in module \memdemo.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -Writing dot description to `example_second.dot'. -Dumping module example to page 1. - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -../../../../yosys mulshift_test.ys - -5. Executing OPT pass (performing simple optimizations). +Writing dot description to `macc_xilinx_test2c.dot'. +Dumping module test2 to page 1. +Optimizing module memdemo. -5.1. Executing OPT_EXPR pass (perform const folding). +4.16. Finished OPT passes. (There is nothing left to do.) 2.11. Executing OPT pass (performing simple optimizations). -2.11.1. Executing OPT_EXPR pass (perform const folding). -Adding EN signal on $memory\mem[1]$23 ($dff) from module test (D = \DIN, Q = \mem[1]). -Adding EN signal on $memory\mem[0]$21 ($dff) from module test (D = \DIN, Q = \mem[0]). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$19'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$17'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$46' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +5. Generating Graphviz representation of design. -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$memory_02.v:24$19'. -Removing empty process `test.$proc$memory_02.v:21$17'. -Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$10'. -Removing empty process `test.$proc$memory_02.v:17$10'. -Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. -Removing empty process `test.$proc$memory_02.v:13$3'. -Cleaned up 2 empty switches. +2.11.1. Executing OPT_EXPR pass (perform const folding). -3.12. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \test.. -Removed 2 unused cells and 2 unused wires. - +14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v +Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. +Generating RTLIL representation for module `\DSP48_MACC'. +Successfully finished Verilog frontend. -5.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module example. +15. Executing TECHMAP pass (map to technology primitives). +gvpack -u -o opt_expr.dot opt_expr_full.dot -5.2. Executing OPT_MERGE pass (detect identical cells). +15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v Optimizing module memdemo. 2.11.2. Executing OPT_MERGE pass (detect identical cells). -Optimizing module test. +Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. +Generating RTLIL representation for module `\mul_swap_ports'. +Successfully finished Verilog frontend. + +15.2. Continuing TECHMAP pass. +Writing dot description to `memdemo_00.dot'. +Dumping module memdemo to page 1. + +6. Generating Graphviz representation of design. +No more expansions possible. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\example'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \example.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. - dead port 2/2 on $mux $ternary$example.v:5$3. -Removed 1 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \example. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Removed 0 unused cells and 2 unused wires. - -5. Generating Graphviz representation of design. -Optimizing module test. - -5.16. Rerunning OPT passes. (Maybe there is more to do..) - -5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - + -5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. +16. Executing TECHMAP pass (map to technology primitives). -5.19. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\example'. -Removed a total of 0 cells. +16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v +../../../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format dot' +Writing dot description to `memdemo_01.dot'. +Dumping selected parts of module memdemo to page 1. -5.6. Executing OPT_DFF pass (perform DFF optimizations). +7. Generating Graphviz representation of design. Finding unused cells or wires in module \memdemo.. -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.20. Executing OPT_DFF pass (perform DFF optimizations). -Writing dot description to `macc_xilinx_test1a.dot'. -Dumping module test1 to page 1. 2.11.4. Finished fast OPT passes. 2.12. Printing statistics. -6. Generating Graphviz representation of design. - === memdemo === Number of wires: 18 @@ -33778,118 +33710,30 @@ $xor 1 2.13. Executing CHECK pass (checking for obvious problems). -Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Checking module memdemo... Found and reported 0 problems. 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). - -5.21. Executing OPT_CLEAN pass (remove unused cells and wires). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `cmos.ys' -- - -1. Executing Verilog-2005 frontend: cmos.v Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Writing dot description to `macc_xilinx_test2a.dot'. -Dumping module test2 to page 1. - -7. Executing TECHMAP pass (map to technology primitives). -Removed 6 unused cells and 26 unused wires. - -4. Executing MEMORY pass. - -4.1. Executing OPT_MEM pass (optimize memories). - -7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v -Parsing Verilog input from `cmos.v' to AST representation. -Generating RTLIL representation for module `\cmos_demo'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. -Performed a total of 0 transformations. - -4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Top module: \cmos_demo - -2.1.2. Analyzing design hierarchy.. -Top module: \cmos_demo -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Finding unused cells or wires in module \test.. - -5.22. Executing OPT_EXPR pass (perform const folding). - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_swap_ports'. +Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. +Generating RTLIL representation for module `\mul_wrap'. +Generating RTLIL representation for module `\add_wrap'. Successfully finished Verilog frontend. -7.2. Continuing TECHMAP pass. -Finding unused cells or wires in module \example.. -Removed 1 unused cells and 4 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). -Performed a total of 0 transformations. - -4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - Analyzing test.memory write port 0. - Analyzing test.memory write port 1. - -4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). +16.2. Continuing TECHMAP pass. +Writing dot description to `memdemo_02.dot'. +Dumping selected parts of module memdemo to page 1. -4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +8. Generating Graphviz representation of design. Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -Using template $paramod$cb31b7e2c27e209b1e5fc8ca3c6ec22d65eb4c07\mul_swap_ports for cells of type $mul. -Optimizing module test. +Writing dot description to `memdemo_03.dot'. +Dumping selected parts of module memdemo to page 1. Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. @@ -33899,66 +33743,32 @@ 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Optimizing module cmos_demo. + +9. Generating Graphviz representation of design. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format dot' -- + +-- Executing script file `memory_01.ys' -- + +1. Executing Verilog-2005 frontend: memory_01.v Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $or. No more expansions possible. - -2.3. Executing FUTURE pass. - -5.23. Finished OPT passes. (There is nothing left to do.) +Writing dot description to `memdemo_04.dot'. +Dumping selected parts of module memdemo to page 1. 12. Executing OPT pass (performing simple optimizations). - -12.1. Executing OPT_EXPR pass (perform const folding). - -6. Generating Graphviz representation of design. -Checking read port `\memory'[0] in module `\test': merging output FF to cell. -Checking read port `\memory'[1] in module `\test': merging output FF to cell. - -4.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module example. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \example.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \example. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). - -2.4. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `memory_01.dot'. -Dumping module test to page 1. -Finding identical cells in module `\example'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). - -End of script. Logfile hash: 3f34ade637, CPU: user 0.01s system 0.01s, MEM: 12.16 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 31% 5x opt_expr (0 sec), 18% 5x opt_clean (0 sec), ... -No more expansions possible. -Optimizing module cmos_demo. -Finding unused cells or wires in module \test.. -Removed 2 unused cells and 18 unused wires. - - -4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. @@ -33976,116 +33786,86 @@ 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `mulshift_test.ys' -- - -1. Executing Verilog-2005 frontend: mulshift_test.v -Finding unused cells or wires in module \example.. - -5.15. Executing OPT_EXPR pass (perform const folding). -Consolidating read ports of memory test.memory by address: -Consolidating write ports of memory test.memory by address: -Consolidating write ports of memory test.memory using sat-based resource sharing: - -4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. +12.1. Executing OPT_EXPR pass (perform const folding). -4.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \cmos_demo.. -Removed 0 unused cells and 1 unused wires. -Parsing Verilog input from `mulshift_test.v' to AST representation. +10. Generating Graphviz representation of design. +Warning: node n1 in graph[1] uut already defined +Some nodes will be renamed. +Parsing Verilog input from `memory_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). - - -2.6. Executing CHECK pass (checking for obvious problems). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. -Checking module cmos_demo... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). Top module: \test Removed 0 unused modules. -3. Executing TECHMAP pass (map to technology primitives). +3. Executing PROC pass (convert processes to netlists). -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -Finding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. -Optimizing module counter. - - +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -12.2. Executing OPT_MERGE pass (detect identical cells). -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_flow.tex --interaction=nonstopmode -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 4 assignments to connections. -3.2. Executing Verilog-2005 frontend: mulshift_map.v -Finding unused cells or wires in module \test.. +3.4. Executing PROC_INIT pass (extract init attributes). -4.10. Executing MEMORY_COLLECT pass (generating $mem cells). -Optimizing module cmos_demo. -Optimizing module example. +3.5. Executing PROC_ARST pass (detect async resets in processes). -2.7.2. Executing OPT_MERGE pass (detect identical cells). +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -5. Executing OPT pass (performing simple optimizations). +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\test.$proc$memory_01.v:5$2'. -5.1. Executing OPT_EXPR pass (perform const folding). +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. + -5.16. Finished OPT passes. (There is nothing left to do.) -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$7' with positive edge clock. +Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$8' with positive edge clock. +Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$9' with positive edge clock. +Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$10' with positive edge clock. -6. Generating Graphviz representation of design. -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `test.$proc$memory_01.v:5$2'. +Cleaned up 0 empty switches. -12.5. Executing OPT_MERGE pass (detect identical cells). - -Removed 0 unused cells and 8 unused wires. +3.12. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `memdemo_05.dot'. +Dumping selected parts of module memdemo to page 1. -8. Generating Graphviz representation of design. -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \cmos_demo.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +-- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format dot' -- -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \cmos_demo. -Performed a total of 0 changes. +-- Executing script file `memory_02.ys' -- -2.7.5. Executing OPT_MERGE pass (detect identical cells). +1. Executing Verilog-2005 frontend: memory_02.v +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). @@ -34098,68 +33878,66 @@ Consolidating write ports of memory memdemo.mem by address: 3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). + +End of script. Logfile hash: ade9f67caf, CPU: user 0.04s system 0.00s, MEM: 13.85 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 23% 9x opt_expr (0 sec), 20% 9x opt_clean (0 sec), ... Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `mulshift_map.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. +Using template $paramod$81421da67e3cf07e7ac8b39f28efc75ee750e82a\mul_wrap for cells of type $mul. +Optimizing module counter. + -3.3. Continuing TECHMAP pass. -Writing dot description to `example_third.dot'. -Dumping module example to page 1. +12.2. Executing OPT_MERGE pass (detect identical cells). +Using template $paramod\MYMUL\WIDTH=32'00000000000000000000000000000010 for cells of type MYMUL. +No more expansions possible. +Optimizing module test. Finding identical cells in module `\counter'. Removed a total of 0 cells. -12.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. - -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `macc_xilinx_test1b.dot'. -Dumping module test1 to page 1. - -End of script. Logfile hash: 1968fcbfd1, CPU: user 0.01s system 0.00s, MEM: 12.45 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 34% 4x opt_expr (0 sec), 18% 3x show (0 sec), ... - -9. Generating Graphviz representation of design. -Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. - -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module test. - - -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \cmos_demo.. +12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -2.7.7. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `macc_xilinx_test2b.dot'. -Dumping module test2 to page 1. +12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. -../../../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.5. Executing OPT_MERGE pass (detect identical cells). 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +Parsing Verilog input from `memory_02.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -10. Executing TECHMAP pass (map to technology primitives). +2. Executing HIERARCHY pass (managing design hierarchy). -10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v -Finding identical cells in module `\test'. -Removed a total of 0 cells. +2.1. Analyzing design hierarchy.. +Top module: \test -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +3. Executing PROC pass (convert processes to netlists). + +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$memory_02.v:17$10 in module test. +Marked 1 switch rules as full_case in process $proc$memory_02.v:13$3 in module test. +Removed a total of 0 dead cases. + +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Mapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] @@ -34167,124 +33945,133 @@ write interface: 12 write mux blocks. 4. Executing OPT pass (performing simple optimizations). +Removed 0 redundant assignments. +Promoted 8 assignments to connections. -4.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. - Optimizing cells in module \test. - Consolidated identical input bits for $mux cell $procmux$31: - Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 - New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] - New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } - Consolidated identical input bits for $mux cell $procmux$22: - Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 - New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] - New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] } - Optimizing cells in module \test. -Performed a total of 2 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). +3.4. Executing PROC_INIT pass (extract init attributes). -2.7.8. Finished OPT passes. (There is nothing left to do.) +4.1. Executing OPT_EXPR pass (perform const folding). -2.8. Executing WREDUCE pass (reducing word size of cells). +3.5. Executing PROC_ARST pass (detect async resets in processes). -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. -Removed 1 unused cells and 32 unused wires. +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -12.8. Executing OPT_EXPR pass (perform const folding). -Finding identical cells in module `\test'. +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Finding identical cells in module `\counter'. Removed a total of 0 cells. -5.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding unused cells or wires in module \cmos_demo.. - -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -2.11. Executing OPT pass (performing simple optimizations). +12.6. Executing OPT_DFF pass (perform DFF optimizations). +Removed 3 unused cells and 7 unused wires. -2.11.1. Executing OPT_EXPR pass (perform const folding). -../../../../yosys cmos.ys +4. Executing MEMORY pass. -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_wrap'. -Generating RTLIL representation for module `\add_wrap'. -Successfully finished Verilog frontend. +4.1. Executing OPT_MEM pass (optimize memories). +Creating decoders for process `\test.$proc$memory_02.v:24$19'. +Creating decoders for process `\test.$proc$memory_02.v:21$17'. +Creating decoders for process `\test.$proc$memory_02.v:17$10'. + 1/3: $1$memwr$\memory$memory_02.v:19$2_EN[7:0]$16 + 2/3: $1$memwr$\memory$memory_02.v:19$2_DATA[7:0]$15 + 3/3: $1$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$14 +Creating decoders for process `\test.$proc$memory_02.v:13$3'. + 1/3: $1$memwr$\memory$memory_02.v:15$1_EN[7:0]$9 + 2/3: $1$memwr$\memory$memory_02.v:15$1_DATA[7:0]$8 + 3/3: $1$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$7 -10.2. Continuing TECHMAP pass. -Optimizing module counter. +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Performed a total of 0 transformations. -12.9. Rerunning OPT passes. (Maybe there is more to do..) +4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +3.9. Executing PROC_DFF pass (convert process syncs to FFs). + +Removed 0 unused cells and 10 unused wires. +Renaming module \test to \test_mapped. -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +4. Executing Verilog-2005 frontend: mymul_test.v +../../../../yosys submod.ys -12.12. Executing OPT_MERGE pass (detect identical cells). -Optimizing module cmos_demo. +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$19'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$17'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$10'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$10'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$10'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. + created $dff cell `$procdff$46' with positive edge clock. -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +Performed a total of 0 transformations. -12.13. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. +4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). +Parsing Verilog input from `mymul_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 4 unused wires. - +5. Executing MITER pass (creating miter circuit). -5.8. Executing OPT_EXPR pass (perform const folding). +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). + Analyzing test.mem write port 0. -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module memdemo. - -Finding unused cells or wires in module \cmos_demo.. +4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). +Removing empty process `test.$proc$memory_02.v:24$19'. +Removing empty process `test.$proc$memory_02.v:21$17'. +Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$10'. +Removing empty process `test.$proc$memory_02.v:17$10'. +Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. +Removing empty process `test.$proc$memory_02.v:13$3'. +Cleaned up 2 empty switches. -2.11.4. Finished fast OPT passes. +3.12. Executing OPT_EXPR pass (perform const folding). -2.12. Printing statistics. +4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". -4.2. Executing OPT_MERGE pass (detect identical cells). +6. Executing FLATTEN pass (flatten design). + -=== cmos_demo === +7. Executing SAT pass (solving SAT problems in the circuit). +Checking read port `\mem'[0] in module `\test': merging output FF to cell. + Write port 0: non-transparent. - Number of wires: 3 - Number of wire bits: 4 - Number of public wires: 3 - Number of public wire bits: 4 - Number of ports: 3 - Number of port bits: 4 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 1 - $add 1 +4.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + -2.13. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. +12.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. + +Optimizing module test. -3. Executing TECHMAP pass (map to technology primitives). +4.2. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \test.. +Removed 1 unused cells and 9 unused wires. -3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v -Finding unused cells or wires in module \counter.. +Setting up SAT problem: +Final constraint equation: { } = { } +Imported 9 cells to SAT database. +Import proof-constraint: \trigger = 1'0 +Final proof equation: \trigger = 1'0 -12.15. Executing OPT_EXPR pass (perform const folding). +Solving problem with 107 variables and 283 clauses.. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). + + +4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -34297,188 +34084,154 @@ Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). -Optimizing module test. - +Optimizing module counter. -5.9. Rerunning OPT passes. (Maybe there is more to do..) +12.9. Rerunning OPT passes. (Maybe there is more to do..) -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + +4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). + Optimizing cells in module \counter. Performed a total of 0 changes. -5.12. Executing OPT_MERGE pass (detect identical cells). -Optimizing module counter. +12.12. Executing OPT_MERGE pass (detect identical cells). +Performed a total of 0 transformations. + +4.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Using template $paramod$88aad6f8473fb7e4e5fbfb8335ddebad03429eaa\add_wrap for cells of type $add. +No more expansions possible. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). +Removed 6 unused cells and 26 unused wires. -12.16. Finished OPT passes. (There is nothing left to do.) +4. Executing MEMORY pass. -13. Executing SPLITNETS pass (splitting up multi-bit signals). -Finding identical cells in module `\test'. -Removed a total of 0 cells. +4.1. Executing OPT_MEM pass (optimize memories). +SAT proof finished - no model found: SUCCESS! -5.13. Executing OPT_DFF pass (perform DFF optimizations). + /$$$$$$ /$$$$$$$$ /$$$$$$$ + /$$__ $$ | $$_____/ | $$__ $$ + | $$ \ $$ | $$ | $$ \ $$ + | $$ | $$ | $$$$$ | $$ | $$ + | $$ | $$ | $$__/ | $$ | $$ + | $$/$$ $$ | $$ | $$ | $$ + | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ + \____ $$$|__/|________/|__/|_______/|__/ + \__/ -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +8. Executing SPLITNETS pass (splitting up multi-bit signals). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Removed 0 unused cells and 2 unused wires. +12.13. Executing OPT_DFF pass (perform DFF optimizations). +Performed a total of 0 transformations. -14. Generating Graphviz representation of design. +4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). + +9. Generating Graphviz representation of design. Finding unused cells or wires in module \test.. -Removed 0 unused cells and 2 unused wires. -Writing dot description to `counter_02.dot'. -Dumping module counter to page 1. - -5.15. Executing OPT_EXPR pass (perform const folding). +4.10. Executing MEMORY_COLLECT pass (generating $mem cells). -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). - cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. - final dff cell mappings: - unmapped dff cell: $_DFF_N_ - \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); - unmapped dff cell: $_DFF_NN0_ - unmapped dff cell: $_DFF_NN1_ - unmapped dff cell: $_DFF_NP0_ - unmapped dff cell: $_DFF_NP1_ - unmapped dff cell: $_DFF_PN0_ - unmapped dff cell: $_DFF_PN1_ - unmapped dff cell: $_DFF_PP0_ - unmapped dff cell: $_DFF_PP1_ - unmapped dff cell: $_DFFE_NN_ - unmapped dff cell: $_DFFE_NP_ - unmapped dff cell: $_DFFE_PN_ - unmapped dff cell: $_DFFE_PP_ - unmapped dff cell: $_DFFSR_NNN_ - unmapped dff cell: $_DFFSR_NNP_ - unmapped dff cell: $_DFFSR_NPN_ - unmapped dff cell: $_DFFSR_NPP_ - unmapped dff cell: $_DFFSR_PNN_ - unmapped dff cell: $_DFFSR_PNP_ - unmapped dff cell: $_DFFSR_PPN_ - unmapped dff cell: $_DFFSR_PPP_ +4.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). -Mapping DFF cells in module `\counter': - mapped 2 $_DFF_P_ cells to \DFF cells. -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 28 unused wires. - +12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Mapping memory \mem in module \test: + created 2 $dff cells and 0 static cells of width 8. +Extracted data FF from read port 0 of test.mem: $\mem$rdreg[0] + read interface: 1 $dff and 1 $mux cells. + write interface: 2 write mux blocks. -4.8. Executing OPT_EXPR pass (perform const folding). +5. Executing OPT pass (performing simple optimizations). -16. Executing ABC pass (technology mapping using ABC). +5.1. Executing OPT_EXPR pass (perform const folding). +Performed a total of 0 transformations. -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. -Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. +4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). +Writing dot description to `mymul.dot'. +Dumping module test_mapped to page 1. +../../../../yosys submod.ys + Analyzing test.memory write port 0. + Analyzing test.memory write port 1. -16.1.1. Executing ABC. -Optimizing module test. +4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). -5.16. Rerunning OPT passes. (Maybe there is more to do..) +4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. -5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. +End of script. Logfile hash: 449524bce2, CPU: user 0.01s system 0.00s, MEM: 13.98 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 25% 2x clean (0 sec), 15% 1x techmap (0 sec), ... -5.19. Executing OPT_MERGE pass (detect identical cells). +12.15. Executing OPT_EXPR pass (perform const folding). +Checking read port `\memory'[0] in module `\test': merging output FF to cell. +Checking read port `\memory'[1] in module `\test': merging output FF to cell. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +4.6. Executing OPT_CLEAN pass (remove unused cells and wires). + +Removed 0 unused cells and 17 unused wires. --- Executing script file `cmos.ys' -- +17. Executing EXTRACT pass (map subcircuits to cells). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 28 unused wires. + -1. Executing Verilog-2005 frontend: cmos.v +4.8. Executing OPT_EXPR pass (perform const folding). - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +17.1. Creating graphs for SubCircuit library. +Optimizing module counter. --- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' -- +12.16. Finished OPT passes. (There is nothing left to do.) +Optimizing module test. + --- Executing script file `techmap_01.ys' -- +5.2. Executing OPT_MERGE pass (detect identical cells). -1. Executing Verilog-2005 frontend: techmap_01.v +13. Executing SPLITNETS pass (splitting up multi-bit signals). +Finding unused cells or wires in module \test.. +Removed 2 unused cells and 18 unused wires. + + +4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Finding identical cells in module `\test'. Removed a total of 0 cells. -5.20. Executing OPT_DFF pass (perform DFF optimizations). -Parsing Verilog input from `cmos.v' to AST representation. -Generating RTLIL representation for module `\cmos_demo'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. -Parsing Verilog input from `techmap_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. - -2.1.1. Analyzing design hierarchy.. -Top module: \cmos_demo - -2.1.2. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Top module: \cmos_demo -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). - -3. Executing TECHMAP pass (map to technology primitives). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. +Performed a total of 0 changes. -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +5.5. Executing OPT_MERGE pass (detect identical cells). +Removed 0 unused cells and 2 unused wires. -2.2.4. Executing PROC_INIT pass (extract init attributes). +14. Generating Graphviz representation of design. +../../../../yosys mulshift_test.ys +Consolidating read ports of memory test.memory by address: +Consolidating write ports of memory test.memory by address: +Consolidating write ports of memory test.memory using sat-based resource sharing: -2.2.5. Executing PROC_ARST pass (detect async resets in processes). +4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Optimizing module memdemo. +Performed a total of 0 transformations. -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -3.1. Executing Verilog-2005 frontend: techmap_01_map.v - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +4.9. Executing OPT_CLEAN pass (remove unused cells and wires). 4.9. Rerunning OPT passes. (Maybe there is more to do..) @@ -34491,265 +34244,63 @@ 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Finding identical cells in module `\test'. +Removed a total of 0 cells. -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +5.6. Executing OPT_DFF pass (perform DFF optimizations). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `counter_02.dot'. +Dumping module counter to page 1. -5.21. Executing OPT_CLEAN pass (remove unused cells and wires). - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `techmap_01_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. -Successfully finished Verilog frontend. +15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). +Finding unused cells or wires in module \test.. + cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. + final dff cell mappings: + unmapped dff cell: $_DFF_N_ + \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); + unmapped dff cell: $_DFF_NN0_ + unmapped dff cell: $_DFF_NN1_ + unmapped dff cell: $_DFF_NP0_ + unmapped dff cell: $_DFF_NP1_ + unmapped dff cell: $_DFF_PN0_ + unmapped dff cell: $_DFF_PN1_ + unmapped dff cell: $_DFF_PP0_ + unmapped dff cell: $_DFF_PP1_ + unmapped dff cell: $_DFFE_NN_ + unmapped dff cell: $_DFFE_NP_ + unmapped dff cell: $_DFFE_PN_ + unmapped dff cell: $_DFFE_PP_ + unmapped dff cell: $_DFFSR_NNN_ + unmapped dff cell: $_DFFSR_NNP_ + unmapped dff cell: $_DFFSR_NPN_ + unmapped dff cell: $_DFFSR_NPP_ + unmapped dff cell: $_DFFSR_PNN_ + unmapped dff cell: $_DFFSR_PNP_ + unmapped dff cell: $_DFFSR_PPN_ + unmapped dff cell: $_DFFSR_PPP_ -3.2. Continuing TECHMAP pass. +15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). -Finding unused cells or wires in module \test.. - -5.22. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. - -2.3. Executing FUTURE pass. -Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. - -2.4. Executing OPT_EXPR pass (perform const folding). -Using template $paramod$fb3c811cfd9dc2fc74fe40190dfcd365f04584f7\MYMUL for cells of type MYMUL. -No more expansions possible. -Optimizing module test. - -5.23. Finished OPT passes. (There is nothing left to do.) - -6. Generating Graphviz representation of design. - -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -No more expansions possible. -Optimizing module cmos_demo. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `memory_02.dot'. -Dumping module test to page 1. - -Removed 0 unused cells and 16 unused wires. - -4. Generating Graphviz representation of design. - -4.1. Executing Verilog-2005 frontend: sym_mul_cells.v -Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\mul_wrap for cells of type $mul. - -End of script. Logfile hash: da34c3e279, CPU: user 0.02s system 0.00s, MEM: 11.95 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 26% 5x opt_expr (0 sec), 19% 5x opt_clean (0 sec), ... -Finding unused cells or wires in module \memdemo.. - -4.15. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `sym_mul_cells.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -4.2. Continuing show pass. -Finding unused cells or wires in module \cmos_demo.. -Removed 0 unused cells and 1 unused wires. - - -2.6. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). - -Removed 0 unused cells and 7 unused wires. - -4. Generating Graphviz representation of design. -Writing dot description to `mulshift.dot'. -Dumping module test to page 1. -Optimizing module cmos_demo. - -2.7.2. Executing OPT_MERGE pass (detect identical cells). - -End of script. Logfile hash: e40f1e9ccc, CPU: user 0.01s system 0.01s, MEM: 12.50 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 29% 3x clean (0 sec), 25% 1x techmap (0 sec), ... -Optimizing module memdemo. -Writing dot description to `techmap_01.dot'. -Dumping module test to page 1. - -4.16. Finished OPT passes. (There is nothing left to do.) - -5. Generating Graphviz representation of design. - -End of script. Logfile hash: 49c16386d9, CPU: user 0.01s system 0.00s, MEM: 11.59 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 37% 1x techmap (0 sec), 25% 1x clean (0 sec), ... -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. - -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \cmos_demo.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \cmos_demo. -Performed a total of 0 changes. - -2.7.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -../../../../yosys counter.ys -Writing dot description to `memdemo_00.dot'. -Dumping module memdemo to page 1. - -6. Generating Graphviz representation of design. -Finding unused cells or wires in module \cmos_demo.. - -2.7.7. Executing OPT_EXPR pass (perform const folding). -../../../../yosys addshift_test.ys -Optimizing module cmos_demo. - -2.7.8. Finished OPT passes. (There is nothing left to do.) -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' - -2.8. Executing WREDUCE pass (reducing word size of cells). - -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `memdemo_01.dot'. -Dumping selected parts of module memdemo to page 1. - -7. Generating Graphviz representation of design. -Finding unused cells or wires in module \cmos_demo.. - -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -2.11. Executing OPT pass (performing simple optimizations). - -2.11.1. Executing OPT_EXPR pass (perform const folding). -Using template $paramod$48197a291a9e3825142389e9d2e41385cae2467c\mul_wrap for cells of type $mul. -Optimizing module cmos_demo. - -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. - -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `memdemo_02.dot'. -Dumping selected parts of module memdemo to page 1. - -8. Generating Graphviz representation of design. -Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Finding unused cells or wires in module \cmos_demo.. - -2.11.4. Finished fast OPT passes. - -2.12. Printing statistics. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. - -=== cmos_demo === - - Number of wires: 3 - Number of wire bits: 4 - Number of public wires: 3 - Number of public wire bits: 4 - Number of ports: 3 - Number of port bits: 4 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 1 - $add 1 - -2.13. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v -Writing dot description to `memdemo_03.dot'. -Dumping selected parts of module memdemo to page 1. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. - -9. Generating Graphviz representation of design. -Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. -Writing dot description to `memdemo_04.dot'. -Dumping selected parts of module memdemo to page 1. - -10. Generating Graphviz representation of design. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `counter.ys' -- - -1. Executing Verilog-2005 frontend: counter.v -Parsing Verilog input from `counter.v' to AST representation. -Generating RTLIL representation for module `\counter'. -Successfully finished Verilog frontend. +4.10. Executing MEMORY_COLLECT pass (generating $mem cells). -2. Executing HIERARCHY pass (managing design hierarchy). +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Mapping DFF cells in module `\counter': + mapped 2 $_DFF_P_ cells to \DFF cells. -2.1. Analyzing design hierarchy.. -Top module: \counter +16. Executing ABC pass (technology mapping using ABC). -2.2. Analyzing design hierarchy.. -Top module: \counter -Removed 0 unused modules. +5. Executing OPT pass (performing simple optimizations). -3. Generating Graphviz representation of design. -Writing dot description to `memdemo_05.dot'. -Dumping selected parts of module memdemo to page 1. +5.1. Executing OPT_EXPR pass (perform const folding). -End of script. Logfile hash: ade9f67caf, CPU: user 0.03s system 0.00s, MEM: 13.26 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 23% 9x opt_expr (0 sec), 20% 9x opt_clean (0 sec), ... +16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -34758,261 +34309,191 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `addshift_test.ys' -- - -1. Executing Verilog-2005 frontend: addshift_test.v -Writing dot description to `counter_00.dot'. -Dumping module counter to page 1. - -4. Executing PROC pass (convert processes to netlists). - -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. -Removed a total of 0 dead cases. - -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +-- Executing script file `submod.ys' -- -4.4. Executing PROC_INIT pass (extract init attributes). +1. Executing Verilog-2005 frontend: memdemo.v +Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. -4.5. Executing PROC_ARST pass (detect async resets in processes). +16.1.1. Executing ABC. -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \test.. +Removed 1 unused cells and 6 unused wires. -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\counter.$proc$counter.v:6$1'. - 1/1: $0\count[1:0] - -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Parsing Verilog input from `addshift_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. - -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). -Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\add_wrap for cells of type $add. -No more expansions possible. - -3.1. Executing Verilog-2005 frontend: addshift_map.v -Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. - created $dff cell `$procdff$8' with positive edge clock. - -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. -Removing empty process `counter.$proc$counter.v:6$1'. -Cleaned up 2 empty switches. +5.8. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \memdemo.. -4.12. Executing OPT_EXPR pass (perform const folding). - +4.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. + +Optimizing module test. -11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). -Parsing Verilog input from `addshift_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. -Successfully finished Verilog frontend. +5.2. Executing OPT_MERGE pass (detect identical cells). -3.2. Continuing TECHMAP pass. -Optimizing module counter. +5.9. Rerunning OPT passes. (Maybe there is more to do..) -5. Executing OPT pass (performing simple optimizations). +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -5.1. Executing OPT_EXPR pass (perform const folding). -Using template $paramod$ba28896eb640c0d0dd7116971c6c5dc347170a6c\$add for cells of type $add. -No more expansions possible. -../../../../yosys memdemo.ys -Optimizing module counter. +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. +Performed a total of 0 changes. -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. +5.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. +Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. - + 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). - -Removed 0 unused cells and 7 unused wires. - -4. Generating Graphviz representation of design. -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `addshift.dot'. -Dumping module test to page 1. -End of script. Logfile hash: 99575363c1, CPU: user 0.01s system 0.00s, MEM: 11.07 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 30% 1x clean (0 sec), 26% 1x techmap (0 sec), ... -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. - + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -5.8. Executing OPT_EXPR pass (perform const folding). -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - +-- Executing script file `submod.ys' -- -4. Executing ABC pass (technology mapping using ABC). -Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } -Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } -Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap19$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } -Removed 0 unused cells and 56 unused wires. +1. Executing Verilog-2005 frontend: memdemo.v +Finding identical cells in module `\test'. +Removed a total of 0 cells. -12. Generating Graphviz representation of design. -Optimizing module counter. +5.13. Executing OPT_DFF pass (perform DFF optimizations). + Optimizing cells in module \test. + Consolidated identical input bits for $mux cell $procmux$31: + Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 + New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] + New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } + Consolidated identical input bits for $mux cell $procmux$22: + Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 + New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] + New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] } + Optimizing cells in module \test. +Performed a total of 2 changes. -5.9. Rerunning OPT passes. (Maybe there is more to do..) +5.5. Executing OPT_MERGE pass (detect identical cells). +Optimizing module memdemo. +Finding identical cells in module `\test'. +Removed a total of 0 cells. -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +5.6. Executing OPT_DFF pass (perform DFF optimizations). +Creating needle graph needle_DSP48_MACC. +Creating haystack graph haystack_$__add_wrapper. +Creating haystack graph haystack_$__mul_wrapper. +Creating haystack graph haystack_DSP48_MACC. +Creating haystack graph haystack_test1. +Creating haystack graph haystack_test2. -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +17.2. Running solver from SubCircuit library. -5.12. Executing OPT_MERGE pass (detect identical cells). +4.16. Finished OPT passes. (There is nothing left to do.) +Adding EN signal on $memory\mem[1]$23 ($dff) from module test (D = \DIN, Q = \mem[1]). +Adding EN signal on $memory\mem[0]$21 ($dff) from module test (D = \DIN, Q = \mem[0]). -4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. +5. Generating Graphviz representation of design. -4.1.1. Executing ABC. +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Solving for needle_DSP48_MACC in haystack_$__add_wrapper. +Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. +Solving for needle_DSP48_MACC in haystack_DSP48_MACC. +Solving for needle_DSP48_MACC in haystack_test1. +Solving for needle_DSP48_MACC in haystack_test2. +Found 3 matches. -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' -Writing dot description to `macc_xilinx_test1c.dot'. -Dumping module test1 to page 1. +17.3. Substitute SubCircuits with cells. +Parsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. +Successfully finished Verilog frontend. -13. Generating Graphviz representation of design. -Finding unused cells or wires in module \counter.. +2. Executing PREP pass. +Finding unused cells or wires in module \test.. +Removed 2 unused cells and 2 unused wires. + 5.15. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `macc_xilinx_test2c.dot'. -Dumping module test2 to page 1. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `memdemo.ys' -- +2.1. Executing HIERARCHY pass (managing design hierarchy). -1. Executing Verilog-2005 frontend: memdemo.v +2.1.1. Analyzing design hierarchy.. +Top module: \memdemo -14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v -Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. -Generating RTLIL representation for module `\DSP48_MACC'. -Successfully finished Verilog frontend. +2.1.2. Analyzing design hierarchy.. +Top module: \memdemo +Removed 0 unused modules. -15. Executing TECHMAP pass (map to technology primitives). +2.2. Executing PROC pass (convert processes to netlists). -15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v -Optimizing module counter. +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -5.16. Finished OPT passes. (There is nothing left to do.) +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -6. Executing MEMORY pass. +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 14 assignments to connections. -6.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. +2.2.4. Executing PROC_INIT pass (extract init attributes). -6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. +2.2.5. Executing PROC_ARST pass (detect async resets in processes). -3.2. Continuing TECHMAP pass. -Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_swap_ports'. -Successfully finished Verilog frontend. +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -15.2. Continuing TECHMAP pass. -Performed a total of 0 transformations. +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. -6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Finding unused cells or wires in module \test.. +Removed 0 unused cells and 4 unused wires. + -6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). +5.8. Executing OPT_EXPR pass (perform const folding). -6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -No more expansions possible. - +Match #0: (needle_DSP48_MACC in haystack_test1) + $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y + $const$0 -> $const$0 \Y:\Y + $const$1 -> $const$1 \Y:\Y + $const$x -> $const$x \Y:\Y + $const$z -> $const$z \Y:\Y + $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y + new cell: $extract$\DSP48_MACC$35 -16. Executing TECHMAP pass (map to technology primitives). -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Match #1: (needle_DSP48_MACC in haystack_test1) + $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y + $const$0 -> $const$0 \Y:\Y + $const$1 -> $const$1 \Y:\Y + $const$x -> $const$x \Y:\Y + $const$z -> $const$z \Y:\Y + $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y + new cell: $extract$\DSP48_MACC$36 -16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v +Match #2: (needle_DSP48_MACC in haystack_test2) + $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y + $const$0 -> $const$0 \Y:\Y + $const$1 -> $const$1 \Y:\Y + $const$x -> $const$x \Y:\Y + $const$z -> $const$z \Y:\Y + $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y + new cell: $extract$\DSP48_MACC$37 -6.6. Executing OPT_CLEAN pass (remove unused cells and wires). +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Parsing Verilog input from `memdemo.v' to AST representation. Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. @@ -35022,16 +34503,14 @@ 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. -Finding unused cells or wires in module \counter.. Top module: \memdemo 2.1.2. Analyzing design hierarchy.. - -6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Top module: \memdemo Removed 0 unused modules. 2.2. Executing PROC pass (convert processes to netlists). +Optimizing module test. 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. @@ -35040,37 +34519,107 @@ Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). + +5.16. Rerunning OPT passes. (Maybe there is more to do..) + +5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Removed 0 redundant assignments. Promoted 14 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. + Optimizing cells in module \test. +Performed a total of 0 changes. + +5.19. Executing OPT_MERGE pass (detect identical cells). Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$35' with positive edge clock. +Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$36' with positive edge clock. +Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$37' with positive edge clock. +Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$38' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$46' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$47' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$48' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$49' with positive edge clock. -6.9. Executing OPT_CLEAN pass (remove unused cells and wires). +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_wrap'. -Generating RTLIL representation for module `\add_wrap'. -Successfully finished Verilog frontend. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -16.2. Continuing TECHMAP pass. -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex levels_of_abstraction.tex --interaction=nonstopmode +-- Executing script file `mulshift_test.ys' -- + +1. Executing Verilog-2005 frontend: mulshift_test.v + +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `memdemo.$proc$memdemo.v:11$7'. +Cleaned up 0 empty switches. + +2.2.12. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\test'. +Removed a total of 0 cells. + +5.20. Executing OPT_DFF pass (perform DFF optimizations). +Optimizing module test. + + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. @@ -35103,100 +34652,164 @@ created $dff cell `$procdff$49' with positive edge clock. 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +Parsing Verilog input from `mulshift_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Finding unused cells or wires in module \counter.. +2. Executing HIERARCHY pass (managing design hierarchy). -6.10. Executing MEMORY_COLLECT pass (generating $mem cells). +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. + +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Top module: \test +Removed 0 unused modules. Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). -6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +3. Executing TECHMAP pass (map to technology primitives). -7. Executing OPT pass (performing simple optimizations). +3.1. Executing Verilog-2005 frontend: sym_mul_map.v -7.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +5.21. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\test'. +Removed a total of 0 cells. -7.2. Executing OPT_MERGE pass (detect identical cells). +5.13. Executing OPT_DFF pass (perform DFF optimizations). +Parsing Verilog input from `sym_mul_map.v' to AST representation. +Generating RTLIL representation for module `\$mul'. +Successfully finished Verilog frontend. + +3.2. Executing Verilog-2005 frontend: mulshift_map.v + +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \test.. + +5.22. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 2.3. Executing FUTURE pass. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +Optimizing module memdemo. +Removed 0 unused cells and 6 unused wires. -7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. +18. Generating Graphviz representation of design. + +2.3. Executing FUTURE pass. +Parsing Verilog input from `mulshift_map.v' to AST representation. +Generating RTLIL representation for module `\MYMUL'. +Successfully finished Verilog frontend. + +3.3. Continuing TECHMAP pass. +Finding unused cells or wires in module \test.. +Removed 0 unused cells and 2 unused wires. + + +5.15. Executing OPT_EXPR pass (perform const folding). + +2.4. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. + +5.23. Finished OPT passes. (There is nothing left to do.) + +6. Generating Graphviz representation of design. +Writing dot description to `macc_xilinx_test1d.dot'. +Dumping module test1 to page 1. +Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. + +19. Generating Graphviz representation of design. +Optimizing module test. + +5.16. Rerunning OPT passes. (Maybe there is more to do..) + +5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. -7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. +5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. Performed a total of 0 changes. -7.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.6. Executing OPT_DFF pass (perform DFF optimizations). - -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). +5.19. Executing OPT_MERGE pass (detect identical cells). 2.4. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. - -7.8. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `memory_01.dot'. +Dumping module test to page 1. Optimizing module memdemo. 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Using template $paramod$81421da67e3cf07e7ac8b39f28efc75ee750e82a\mul_wrap for cells of type $mul. -Optimizing module counter. -7.9. Finished OPT passes. (There is nothing left to do.) +End of script. Logfile hash: 3f34ade637, CPU: user 0.01s system 0.01s, MEM: 12.82 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 30% 5x opt_expr (0 sec), 18% 5x opt_clean (0 sec), ... +Finding identical cells in module `\test'. +Removed a total of 0 cells. -8. Executing FSM pass (extract and optimize FSM). +5.20. Executing OPT_DFF pass (perform DFF optimizations). +Writing dot description to `macc_xilinx_test2d.dot'. +Dumping module test2 to page 1. -8.1. Executing FSM_DETECT pass (finding FSMs in design). +20. Executing TECHMAP pass (map to technology primitives). -8.2. Executing FSM_EXTRACT pass (extracting FSM from design). +20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v + +5.21. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. +Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. +Generating RTLIL representation for module `\$__mul_wrapper'. +Generating RTLIL representation for module `\$__add_wrapper'. +Successfully finished Verilog frontend. + +20.2. Continuing TECHMAP pass. +Finding unused cells or wires in module \test.. + +5.22. Executing OPT_EXPR pass (perform const folding). + +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). +Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\$__mul_wrapper for cells of type $__mul_wrapper. +../../../../yosys addshift_test.ys Checking module memdemo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module memdemo. -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. -Using template $paramod$88aad6f8473fb7e4e5fbfb8335ddebad03429eaa\add_wrap for cells of type $add. +Optimizing module test. + +5.23. Finished OPT passes. (There is nothing left to do.) +Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\$__add_wrapper for cells of type $__add_wrapper. No more expansions possible. -2.7.2. Executing OPT_MERGE pass (detect identical cells). - +6. Generating Graphviz representation of design. +Optimizing module memdemo. +Writing dot description to `memory_02.dot'. +Dumping module test to page 1. -4. Executing ABC pass (technology mapping using ABC). +2.7.2. Executing OPT_MERGE pass (detect identical cells). -4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. +End of script. Logfile hash: da34c3e279, CPU: user 0.01s system 0.01s, MEM: 12.80 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 27% 5x opt_expr (0 sec), 19% 5x opt_clean (0 sec), ... +Finding unused cells or wires in module \memdemo.. +Removed 12 unused cells and 26 unused wires. Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. + -4.1.1. Executing ABC. +2.6. Executing CHECK pass (checking for obvious problems). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -35209,44 +34822,59 @@ Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). + +Removed 0 unused cells and 14 unused wires. + +21. Generating Graphviz representation of design. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Checking module memdemo... +Found and reported 0 problems. -8.3. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.4. Executing OPT_CLEAN pass (remove unused cells and wires). - -Removed 0 unused cells and 17 unused wires. +2.7. Executing OPT pass (performing simple optimizations). -17. Executing EXTRACT pass (map subcircuits to cells). +2.7.1. Executing OPT_EXPR pass (perform const folding). +../../../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' +Writing dot description to `macc_xilinx_test1e.dot'. +Dumping module test1 to page 1. -17.1. Creating graphs for SubCircuit library. +22. Generating Graphviz representation of design. +Optimizing module memdemo. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 4 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. - -8.5. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). - -8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). -8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `macc_xilinx_test2e.dot'. +Dumping module test2 to page 1. -9. Executing OPT pass (performing simple optimizations). +23. Generating Graphviz representation of design. +Finding identical cells in module `\memdemo'. + +Removed a total of 4 cells. -9.1. Executing OPT_EXPR pass (perform const folding). +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Optimizing module memdemo. +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) -Optimizing module counter. 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. + +2.7.5. Executing OPT_MERGE pass (detect identical cells). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -35255,47 +34883,65 @@ 2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - -9.2. Executing OPT_MERGE pass (detect identical cells). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. +Writing dot description to `macc_xilinx_xmap.dot'. +Dumping module DSP48_MACC to page 1. +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -9.5. Executing OPT_MERGE pass (detect identical cells). +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -9.6. Executing OPT_DFF pass (perform DFF optimizations). -9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +End of script. Logfile hash: 750c951a95, CPU: user 0.06s system 0.01s, MEM: 13.98 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 37% 12x clean (0 sec), 17% 5x techmap (0 sec), ... Finding unused cells or wires in module \memdemo.. 2.7.13. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 4 unused wires. + -9.8. Executing OPT_EXPR pass (perform const folding). +2.7.7. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. Optimizing module memdemo. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `addshift_test.ys' -- + +1. Executing Verilog-2005 frontend: addshift_test.v + +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) + +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). + 2.7.14. Finished OPT passes. (There is nothing left to do.) +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 2.8. Executing WREDUCE pass (reducing word size of cells). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. + +2.7.11. Executing OPT_MERGE pass (detect identical cells). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). @@ -35306,79 +34952,124 @@ Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module counter. +Parsing Verilog input from `addshift_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -9.9. Finished OPT passes. (There is nothing left to do.) +2. Executing HIERARCHY pass (managing design hierarchy). -10. Generating Graphviz representation of design. -Creating needle graph needle_DSP48_MACC. -Creating haystack graph haystack_$__add_wrapper. -Creating haystack graph haystack_$__mul_wrapper. -Creating haystack graph haystack_DSP48_MACC. -Creating haystack graph haystack_test1. -Creating haystack graph haystack_test2. +2.1. Analyzing design hierarchy.. +Top module: \test -17.2. Running solver from SubCircuit library. -Writing dot description to `counter_01.dot'. -Dumping module counter to page 1. -Finding unused cells or wires in module \memdemo.. -Solving for needle_DSP48_MACC in haystack_$__add_wrapper. -Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. -Solving for needle_DSP48_MACC in haystack_DSP48_MACC. -Solving for needle_DSP48_MACC in haystack_test1. -Solving for needle_DSP48_MACC in haystack_test2. -Found 3 matches. +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. -17.3. Substitute SubCircuits with cells. +3. Executing TECHMAP pass (map to technology primitives). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' -11. Executing TECHMAP pass (map to technology primitives). +3.1. Executing Verilog-2005 frontend: addshift_map.v +Finding unused cells or wires in module \memdemo.. +Parsing Verilog input from `addshift_map.v' to AST representation. +Generating RTLIL representation for module `\$add'. +Successfully finished Verilog frontend. -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v +3.2. Continuing TECHMAP pass. + +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \memdemo.. -Match #0: (needle_DSP48_MACC in haystack_test1) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$35 +2.7.13. Executing OPT_EXPR pass (perform const folding). +Using template $paramod$ba28896eb640c0d0dd7116971c6c5dc347170a6c\$add for cells of type $add. +No more expansions possible. -Match #1: (needle_DSP48_MACC in haystack_test1) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$36 + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Match #2: (needle_DSP48_MACC in haystack_test2) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$37 +-- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' -- + +-- Executing script file `techmap_01.ys' -- + +1. Executing Verilog-2005 frontend: techmap_01.v +Using template $paramod$fb3c811cfd9dc2fc74fe40190dfcd365f04584f7\MYMUL for cells of type MYMUL. +No more expansions possible. Optimizing module memdemo. 2.11.2. Executing OPT_MERGE pass (detect identical cells). +Parsing Verilog input from `techmap_01.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. + +3. Executing TECHMAP pass (map to technology primitives). + +3.1. Executing Verilog-2005 frontend: techmap_01_map.v +Optimizing module memdemo. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). + +2.7.14. Finished OPT passes. (There is nothing left to do.) + +Removed 0 unused cells and 7 unused wires. + +4. Generating Graphviz representation of design. + +2.8. Executing WREDUCE pass (reducing word size of cells). +Parsing Verilog input from `techmap_01_map.v' to AST representation. +Generating RTLIL representation for module `\$add'. +Successfully finished Verilog frontend. + +3.2. Continuing TECHMAP pass. + +Removed 0 unused cells and 16 unused wires. + +4. Generating Graphviz representation of design. +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). + +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). + +4.1. Executing Verilog-2005 frontend: sym_mul_cells.v Finding unused cells or wires in module \memdemo.. 2.11.4. Finished fast OPT passes. 2.12. Printing statistics. +Writing dot description to `addshift.dot'. +Dumping module test to page 1. +Parsing Verilog input from `sym_mul_cells.v' to AST representation. +Generating RTLIL representation for module `\MYMUL'. +Successfully finished Verilog frontend. + +4.2. Continuing show pass. === memdemo === @@ -35403,22 +35094,42 @@ Checking module memdemo... Found and reported 0 problems. +End of script. Logfile hash: 99575363c1, CPU: user 0.00s system 0.00s, MEM: 11.83 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 28% 1x techmap (0 sec), 25% 1x clean (0 sec), ... +Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. + 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). +../../../../yosys cmos.ys +Finding unused cells or wires in module \memdemo.. Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Removed 0 unused cells and 6 unused wires. -18. Generating Graphviz representation of design. -Writing dot description to `macc_xilinx_test1d.dot'. -Dumping module test1 to page 1. +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +Writing dot description to `mulshift.dot'. +Dumping module test to page 1. -19. Generating Graphviz representation of design. +2.11. Executing OPT pass (performing simple optimizations). + +2.11.1. Executing OPT_EXPR pass (perform const folding). + +End of script. Logfile hash: e40f1e9ccc, CPU: user 0.02s system 0.00s, MEM: 12.97 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 29% 1x techmap (0 sec), 24% 3x clean (0 sec), ... +No more expansions possible. +Optimizing module memdemo. + +2.11.2. Executing OPT_MERGE pass (detect identical cells). Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. @@ -35428,12 +35139,46 @@ 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Writing dot description to `macc_xilinx_test2d.dot'. -Dumping module test2 to page 1. +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex levels_of_abstraction.tex --interaction=nonstopmode + +Removed 0 unused cells and 7 unused wires. -20. Executing TECHMAP pass (map to technology primitives). +4. Generating Graphviz representation of design. +Finding unused cells or wires in module \memdemo.. -20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v +2.11.4. Finished fast OPT passes. + +2.12. Printing statistics. +Writing dot description to `techmap_01.dot'. +Dumping module test to page 1. + +=== memdemo === + + Number of wires: 18 + Number of wire bits: 58 + Number of public wires: 5 + Number of public wire bits: 13 + Number of ports: 3 + Number of port bits: 9 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 11 + $add 4 + $dff 3 + $mem_v2 1 + $mux 1 + $reduce_bool 1 + $xor 1 + +2.13. Executing CHECK pass (checking for obvious problems). +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' + +End of script. Logfile hash: 49c16386d9, CPU: user 0.00s system 0.01s, MEM: 12.09 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 36% 1x techmap (0 sec), 24% 1x clean (0 sec), ... +Checking module memdemo... +Found and reported 0 problems. Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. @@ -35450,23 +35195,19 @@ Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. -Generating RTLIL representation for module `\$__mul_wrapper'. -Generating RTLIL representation for module `\$__add_wrapper'. -Successfully finished Verilog frontend. -20.2. Continuing TECHMAP pass. -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\$__mul_wrapper for cells of type $__mul_wrapper. -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_parsetree.tex --interaction=nonstopmode +3. Executing MEMORY pass. + +3.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Finding unused cells or wires in module \memdemo.. Removed 1 unused cells and 5 unused wires. 3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\$__add_wrapper for cells of type $__add_wrapper. -No more expansions possible. +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). @@ -35482,6 +35223,18 @@ Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Performed a total of 6 transformations. + +3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + Analyzing memdemo.mem write port 0. + Analyzing memdemo.mem write port 1. + Analyzing memdemo.mem write port 2. + Analyzing memdemo.mem write port 3. + Analyzing memdemo.mem write port 4. + +3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. @@ -35498,10 +35251,91 @@ 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `cmos.ys' -- + +1. Executing Verilog-2005 frontend: cmos.v +Parsing Verilog input from `cmos.v' to AST representation. +Generating RTLIL representation for module `\cmos_demo'. +Successfully finished Verilog frontend. + +2. Executing PREP pass. + +2.1. Executing HIERARCHY pass (managing design hierarchy). + +2.1.1. Analyzing design hierarchy.. +Top module: \cmos_demo + +2.1.2. Analyzing design hierarchy.. +Top module: \cmos_demo +Removed 0 unused modules. + +2.2. Executing PROC pass (convert processes to netlists). + +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. + +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +2.2.4. Executing PROC_INIT pass (extract init attributes). + +2.2.5. Executing PROC_ARST pass (detect async resets in processes). + +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). + +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. + Write port 0: non-transparent. + Write port 1: non-transparent. + Write port 2: non-transparent. + Write port 3: non-transparent. + Write port 4: non-transparent. +Checking read port `\mem'[1] in module `\memdemo': no output FF found. +Checking read port `\mem'[2] in module `\memdemo': no output FF found. +Checking read port `\mem'[3] in module `\memdemo': no output FF found. +Checking read port `\mem'[4] in module `\memdemo': no output FF found. +Checking read port address `\mem'[1] in module `\memdemo': no address FF found. +Checking read port address `\mem'[2] in module `\memdemo': no address FF found. +Checking read port address `\mem'[3] in module `\memdemo': no address FF found. +Checking read port address `\mem'[4] in module `\memdemo': no address FF found. + +3.6. Executing OPT_CLEAN pass (remove unused cells and wires). + +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). + +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +2.2.12. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. Optimizing module memdemo. + + +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 4.2. Executing OPT_MERGE pass (detect identical cells). +Optimizing module cmos_demo. + +2.3. Executing FUTURE pass. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. @@ -35518,73 +35352,88 @@ Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). - -Removed 0 unused cells and 14 unused wires. -21. Generating Graphviz representation of design. +2.4. Executing OPT_EXPR pass (perform const folding). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 4 (address 2'00). + Merging ports 2, 3 (address 2'11). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 2 (address 2'00). +Consolidating read ports of memory memdemo.mem by address: +Consolidating write ports of memory memdemo.mem by address: + Merging ports 0, 1 (address 2'00). + Merging ports 0, 2 (address 2'00). +Consolidating write ports of memory memdemo.mem by address: + +3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. + +3.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). -Writing dot description to `macc_xilinx_test1e.dot'. -Dumping module test1 to page 1. +Optimizing module cmos_demo. -22. Generating Graphviz representation of design. +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 5 unused wires. +Finding unused cells or wires in module \cmos_demo.. +Removed 0 unused cells and 1 unused wires. + -11.2. Continuing TECHMAP pass. -Writing dot description to `macc_xilinx_test2e.dot'. -Dumping module test2 to page 1. +2.6. Executing CHECK pass (checking for obvious problems). + -23. Generating Graphviz representation of design. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_abstractions.tex --interaction=nonstopmode -Writing dot description to `macc_xilinx_xmap.dot'. -Dumping module DSP48_MACC to page 1. +3.10. Executing MEMORY_COLLECT pass (generating $mem cells). +Checking module cmos_demo... +Found and reported 0 problems. + +2.7. Executing OPT pass (performing simple optimizations). + +3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). + +2.7.1. Executing OPT_EXPR pass (perform const folding). +Mapping memory \mem in module \memdemo: + created 4 $dff cells and 0 static cells of width 4. +Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] + read interface: 1 $dff and 3 $mux cells. + write interface: 12 write mux blocks. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 28 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). -End of script. Logfile hash: 750c951a95, CPU: user 0.04s system 0.00s, MEM: 13.62 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 36% 12x clean (0 sec), 17% 5x techmap (0 sec), ... -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. +4. Executing OPT pass (performing simple optimizations). +Optimizing module cmos_demo. + +4.1. Executing OPT_EXPR pass (perform const folding). + +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \cmos_demo.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \cmos_demo. +Performed a total of 0 changes. + +2.7.5. Executing OPT_MERGE pass (detect identical cells). Optimizing module memdemo. 4.9. Rerunning OPT passes. (Maybe there is more to do..) +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. @@ -35599,222 +35448,278 @@ Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \cmos_demo.. + +2.7.7. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' +Optimizing module cmos_demo. -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \memdemo.. +2.7.8. Finished OPT passes. (There is nothing left to do.) -4.15. Executing OPT_EXPR pass (perform const folding). +2.8. Executing WREDUCE pass (reducing word size of cells). + +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). + +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. + -4.16. Finished OPT passes. (There is nothing left to do.) +4.2. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \cmos_demo.. -5. Generating Graphviz representation of design. -Writing dot description to `memdemo_00.dot'. -Dumping module memdemo to page 1. +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -6. Generating Graphviz representation of design. -Writing dot description to `memdemo_01.dot'. -Dumping selected parts of module memdemo to page 1. +2.11. Executing OPT pass (performing simple optimizations). -7. Generating Graphviz representation of design. -Writing dot description to `memdemo_02.dot'. -Dumping selected parts of module memdemo to page 1. +2.11.1. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \memdemo.. -8. Generating Graphviz representation of design. -Writing dot description to `memdemo_03.dot'. -Dumping selected parts of module memdemo to page 1. -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - +4.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module cmos_demo. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -12. Executing OPT pass (performing simple optimizations). +4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -12.1. Executing OPT_EXPR pass (perform const folding). +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -9. Generating Graphviz representation of design. -Writing dot description to `memdemo_04.dot'. -Dumping selected parts of module memdemo to page 1. +4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -10. Generating Graphviz representation of design. -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.01 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif +4.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. -16.1.2. Re-integrating ABC results. -Optimizing module counter. - +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. +Finding unused cells or wires in module \cmos_demo.. -12.2. Executing OPT_MERGE pass (detect identical cells). -ABC RESULTS: NAND cells: 4 -ABC RESULTS: NOR cells: 4 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2.11.4. Finished fast OPT passes. -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2.12. Printing statistics. -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +4.16. Finished OPT passes. (There is nothing left to do.) -12.5. Executing OPT_MERGE pass (detect identical cells). -Removed 0 unused cells and 10 unused wires. +=== cmos_demo === -17. Generating Graphviz representation of design. + Number of wires: 3 + Number of wire bits: 4 + Number of public wires: 3 + Number of public wire bits: 4 + Number of ports: 3 + Number of port bits: 4 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 1 + $add 1 -17.1. Executing Verilog-2005 frontend: mycells.v -Writing dot description to `memdemo_05.dot'. -Dumping selected parts of module memdemo to page 1. -Finding identical cells in module `\counter'. +2.13. Executing CHECK pass (checking for obvious problems). +Checking module cmos_demo... +Found and reported 0 problems. + +3. Executing TECHMAP pass (map to technology primitives). +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -12.6. Executing OPT_DFF pass (perform DFF optimizations). -Parsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. +4.6. Executing OPT_DFF pass (perform DFF optimizations). -17.2. Continuing show pass. +3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v -End of script. Logfile hash: ade9f67caf, CPU: user 0.03s system 0.00s, MEM: 13.46 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 23% 9x opt_expr (0 sec), 19% 9x opt_clean (0 sec), ... +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 28 unused wires. + -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. +4.8. Executing OPT_EXPR pass (perform const folding). -18. Executing Verilog backend. +5. Executing SUBMOD pass (moving cells to submodules as requested). -18.1. Executing BMUXMAP pass. +5.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. -18.2. Executing DEMUXMAP pass. -Finding unused cells or wires in module \counter.. -Removed 1 unused cells and 32 unused wires. - +5.2. Continuing SUBMOD pass. +Creating submodule scramble (\scramble) of module \memdemo. + signal \mem[0]: output \mem[0] + signal \d: input \d + signal $memory\mem$wrmux[1][2][0]$y$119: internal + signal \clk: input \clk + signal \mem[2]: output \mem[2] + signal $0$memwr$\mem$memdemo.v:13$2_DATA[3:0]$8: internal + signal $0$memwr$\mem$memdemo.v:13$3_DATA[3:0]$10: internal + signal $0$memwr$\mem$memdemo.v:13$4_DATA[3:0]$12: internal + signal $0$memwr$\mem$memdemo.v:13$5_DATA[3:0]$14: internal + signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: input \n1 + signal $memory\mem$wrmux[2][2][0]$y$131: internal + signal $auto$rtlil.cc:2833:Eq$99: internal + signal $memory\mem$wrmux[3][2][0]$y$143: internal + signal $memory\mem$wrmux[0][2][0]$y$105: internal + signal \mem[1]: output \mem[1] + signal $auto$rtlil.cc:2826:And$117: internal + signal $auto$rtlil.cc:2833:Eq$101: internal + signal $auto$rtlil.cc:2826:And$129: internal + signal $auto$rtlil.cc:2826:And$141: internal + signal $auto$rtlil.cc:2826:And$103: internal + signal \mem[3]: output \mem[3] + cell $memory\mem[3]$77 ($dff) + cell $memory\mem[2]$75 ($dff) + cell $memory\mem[1]$73 ($dff) + cell $memory\mem[0]$71 ($dff) + cell $memory\mem$wrmux[3][2][0]$142 ($mux) + cell $memory\mem$wrmux[2][2][0]$130 ($mux) + cell $memory\mem$wrmux[1][2][0]$118 ($mux) + cell $memory\mem$wrmux[0][2][0]$104 ($mux) + cell $auto$memory_map.cc:97:addr_decode$140 ($and) + cell $auto$memory_map.cc:97:addr_decode$128 ($and) + cell $auto$memory_map.cc:97:addr_decode$116 ($and) + cell $auto$memory_map.cc:97:addr_decode$102 ($and) + cell $auto$memory_map.cc:92:addr_decode$98 ($not) + cell $auto$memory_map.cc:92:addr_decode$100 ($not) + cell $add$memdemo.v:13$30 ($add) + cell $add$memdemo.v:13$27 ($add) + cell $add$memdemo.v:13$24 ($add) + cell $add$memdemo.v:13$21 ($add) -12.8. Executing OPT_EXPR pass (perform const folding). -Dumping module `\counter'. +6. Executing SUBMOD pass (moving cells to submodules as requested). -End of script. Logfile hash: 1e4afcb258, CPU: user 0.03s system 0.00s, MEM: 16.62 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 54% 1x abc (0 sec), 11% 4x read_verilog (0 sec), ... -../../../../yosys submod.ys -Optimizing module counter. +6.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. -12.9. Rerunning OPT passes. (Maybe there is more to do..) +4.9. Rerunning OPT passes. (Maybe there is more to do..) -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. +4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. - No muxes found in this module. + Evaluating internal representation of mux trees. + Analyzing evaluation results. Removed 0 multiplexer ports. + -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. +4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. Performed a total of 0 changes. -12.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. +4.12. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 14 unused wires. + + +6.2. Continuing SUBMOD pass. +Creating submodule outstage (\outstage) of module \memdemo. + signal \mem[0]: input \mem[0] + signal \clk: input \clk + signal \mem[2]: input \mem[2] + signal \y: output \y + signal $\mem$rdreg[0]$d: internal + signal $0\s2[1:0]: input \n1 + signal $memory\mem$rdmux[0][0][0]$b$81: internal + signal \mem[1]: input \mem[1] + signal $memory\mem$rdmux[0][0][0]$a$80: internal + signal \mem[3]: input \mem[3] + cell $memory\mem$rdmux[0][1][1]$85 ($mux) + cell $memory\mem$rdmux[0][1][0]$82 ($mux) + cell $memory\mem$rdmux[0][0][0]$79 ($mux) + cell $\mem$rdreg[0] ($dff) +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -12.13. Executing OPT_DFF pass (perform DFF optimizations). +4.13. Executing OPT_DFF pass (perform DFF optimizations). -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. -../../../../yosys counter.ys +7. Executing SUBMOD pass (moving cells to submodules as requested). -12.15. Executing OPT_EXPR pass (perform const folding). -cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex verilog_flow.tex --interaction=nonstopmode -Optimizing module counter. +7.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 3 unused wires. + -12.16. Finished OPT passes. (There is nothing left to do.) +7.2. Continuing SUBMOD pass. -13. Executing SPLITNETS pass (splitting up multi-bit signals). -Removed 0 unused cells and 2 unused wires. +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Creating submodule selstage (\selstage) of module \memdemo. + signal \d: input \d + signal \s1: input \s1 + signal \s2: input \s2 + signal $0\s2[1:0]: output \n1 + signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: output \n2 + signal $reduce_bool$memdemo.v:14$32_Y: internal + signal $xor$memdemo.v:14$31_Y: internal + cell $xor$memdemo.v:14$31 ($xor) + cell $ternary$memdemo.v:14$33 ($mux) + cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) -14. Generating Graphviz representation of design. -Writing dot description to `counter_02.dot'. -Dumping module counter to page 1. +8. Generating Graphviz representation of design. +Writing dot description to `submod_00.dot'. +Dumping module memdemo to page 1. +../../../../yosys submod.ys -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). - cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. - final dff cell mappings: - unmapped dff cell: $_DFF_N_ - \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); - unmapped dff cell: $_DFF_NN0_ - unmapped dff cell: $_DFF_NN1_ - unmapped dff cell: $_DFF_NP0_ - unmapped dff cell: $_DFF_NP1_ - unmapped dff cell: $_DFF_PN0_ - unmapped dff cell: $_DFF_PN1_ - unmapped dff cell: $_DFF_PP0_ - unmapped dff cell: $_DFF_PP1_ - unmapped dff cell: $_DFFE_NN_ - unmapped dff cell: $_DFFE_NP_ - unmapped dff cell: $_DFFE_PN_ - unmapped dff cell: $_DFFE_PP_ - unmapped dff cell: $_DFFSR_NNN_ - unmapped dff cell: $_DFFSR_NNP_ - unmapped dff cell: $_DFFSR_NPN_ - unmapped dff cell: $_DFFSR_NPP_ - unmapped dff cell: $_DFFSR_PNN_ - unmapped dff cell: $_DFFSR_PNP_ - unmapped dff cell: $_DFFSR_PPN_ - unmapped dff cell: $_DFFSR_PPP_ +9. Generating Graphviz representation of design. +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +Finding unused cells or wires in module \memdemo.. -15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). -Mapping DFF cells in module `\counter': - mapped 2 $_DFF_P_ cells to \DFF cells. +4.15. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `submod_01.dot'. +Dumping module scramble to page 1. -16. Executing ABC pass (technology mapping using ABC). +10. Generating Graphviz representation of design. +Writing dot description to `submod_02.dot'. +Dumping module outstage to page 1. -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. -Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. +11. Generating Graphviz representation of design. +Optimizing module memdemo. +Writing dot description to `submod_03.dot'. +Dumping module selstage to page 1. -16.1.1. Executing ABC. +4.16. Finished OPT passes. (There is nothing left to do.) + +End of script. Logfile hash: ae3c266750, CPU: user 0.03s system 0.01s, MEM: 13.99 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 24% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... +../../../../yosys counter.ys +Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +3.2. Continuing TECHMAP pass. +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_ast.tex --interaction=nonstopmode +Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -35826,6 +35731,57 @@ -- Executing script file `submod.ys' -- 1. Executing Verilog-2005 frontend: memdemo.v + +5. Executing SUBMOD pass (moving cells to submodules as requested). + +5.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. + +5.2. Continuing SUBMOD pass. +Creating submodule scramble (\scramble) of module \memdemo. + signal \mem[0]: output \mem[0] + signal \d: input \d + signal $memory\mem$wrmux[1][2][0]$y$119: internal + signal \clk: input \clk + signal \mem[2]: output \mem[2] + signal $0$memwr$\mem$memdemo.v:13$2_DATA[3:0]$8: internal + signal $0$memwr$\mem$memdemo.v:13$3_DATA[3:0]$10: internal + signal $0$memwr$\mem$memdemo.v:13$4_DATA[3:0]$12: internal + signal $0$memwr$\mem$memdemo.v:13$5_DATA[3:0]$14: internal + signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: input \n1 + signal $memory\mem$wrmux[2][2][0]$y$131: internal + signal $auto$rtlil.cc:2833:Eq$99: internal + signal $memory\mem$wrmux[3][2][0]$y$143: internal + signal $memory\mem$wrmux[0][2][0]$y$105: internal + signal \mem[1]: output \mem[1] + signal $auto$rtlil.cc:2826:And$117: internal + signal $auto$rtlil.cc:2833:Eq$101: internal + signal $auto$rtlil.cc:2826:And$129: internal + signal $auto$rtlil.cc:2826:And$141: internal + signal $auto$rtlil.cc:2826:And$103: internal + signal \mem[3]: output \mem[3] + cell $memory\mem[3]$77 ($dff) + cell $memory\mem[2]$75 ($dff) + cell $memory\mem[1]$73 ($dff) + cell $memory\mem[0]$71 ($dff) + cell $memory\mem$wrmux[3][2][0]$142 ($mux) + cell $memory\mem$wrmux[2][2][0]$130 ($mux) + cell $memory\mem$wrmux[1][2][0]$118 ($mux) + cell $memory\mem$wrmux[0][2][0]$104 ($mux) + cell $auto$memory_map.cc:97:addr_decode$140 ($and) + cell $auto$memory_map.cc:97:addr_decode$128 ($and) + cell $auto$memory_map.cc:97:addr_decode$116 ($and) + cell $auto$memory_map.cc:97:addr_decode$102 ($and) + cell $auto$memory_map.cc:92:addr_decode$98 ($not) + cell $auto$memory_map.cc:92:addr_decode$100 ($not) + cell $add$memdemo.v:13$30 ($add) + cell $add$memdemo.v:13$27 ($add) + cell $add$memdemo.v:13$24 ($add) + cell $add$memdemo.v:13$21 ($add) + +6. Executing SUBMOD pass (moving cells to submodules as requested). + +6.1. Executing OPT_CLEAN pass (remove unused cells and wires). Parsing Verilog input from `memdemo.v' to AST representation. Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. @@ -35864,6 +35820,11 @@ Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 14 unused wires. + + +6.2. Continuing SUBMOD pass. 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. @@ -35904,9 +35865,25 @@ Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module memdemo. +Creating submodule outstage (\outstage) of module \memdemo. + signal \mem[0]: input \mem[0] + signal \clk: input \clk + signal \mem[2]: input \mem[2] + signal \y: output \y + signal $\mem$rdreg[0]$d: internal + signal $0\s2[1:0]: input \n1 + signal $memory\mem$rdmux[0][0][0]$b$81: internal + signal \mem[1]: input \mem[1] + signal $memory\mem$rdmux[0][0][0]$a$80: internal + signal \mem[3]: input \mem[3] + cell $memory\mem$rdmux[0][1][1]$85 ($mux) + cell $memory\mem$rdmux[0][1][0]$82 ($mux) + cell $memory\mem$rdmux[0][0][0]$79 ($mux) + cell $\mem$rdreg[0] ($dff) -2.3. Executing FUTURE pass. +7. Executing SUBMOD pass (moving cells to submodules as requested). + +7.1. Executing OPT_CLEAN pass (remove unused cells and wires). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -35918,8 +35895,6 @@ -- Executing script file `counter.ys' -- 1. Executing Verilog-2005 frontend: counter.v - -2.4. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `counter.v' to AST representation. Generating RTLIL representation for module `\counter'. Successfully finished Verilog frontend. @@ -35927,6 +35902,7 @@ 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. +Optimizing module memdemo. Top module: \counter 2.2. Analyzing design hierarchy.. @@ -35934,91 +35910,59 @@ Removed 0 unused modules. 3. Generating Graphviz representation of design. -Optimizing module memdemo. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `counter_00.dot'. -Dumping module counter to page 1. - -4. Executing PROC pass (convert processes to netlists). - -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. -Removed a total of 0 dead cases. - -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -4.4. Executing PROC_INIT pass (extract init attributes). - -4.5. Executing PROC_ARST pass (detect async resets in processes). - -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\counter.$proc$counter.v:6$1'. - 1/1: $0\count[1:0] - -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). +2.3. Executing FUTURE pass. +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 3 unused wires. + -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. - created $dff cell `$procdff$8' with positive edge clock. +7.2. Continuing SUBMOD pass. -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2.4. Executing OPT_EXPR pass (perform const folding). +Creating submodule selstage (\selstage) of module \memdemo. + signal \d: input \d + signal \s1: input \s1 + signal \s2: input \s2 + signal $0\s2[1:0]: output \n1 + signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: output \n2 + signal $reduce_bool$memdemo.v:14$32_Y: internal + signal $xor$memdemo.v:14$31_Y: internal + cell $xor$memdemo.v:14$31 ($xor) + cell $ternary$memdemo.v:14$33 ($mux) + cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) +Optimizing module memdemo. -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. -Removing empty process `counter.$proc$counter.v:6$1'. -Cleaned up 2 empty switches. +8. Generating Graphviz representation of design. -4.12. Executing OPT_EXPR pass (perform const folding). +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -Optimizing module counter. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). Checking module memdemo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. 2.7.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module memdemo. -Optimizing module counter. - -5.2. Executing OPT_MERGE pass (detect identical cells). + -2.7.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +4. Executing ABC pass (technology mapping using ABC). -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. +Optimizing module memdemo. -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. -5.5. Executing OPT_MERGE pass (detect identical cells). +4.1.1. Executing ABC. Finding identical cells in module `\memdemo'. Removed a total of 4 cells. @@ -36036,28 +35980,15 @@ Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 4 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) @@ -36075,43 +36006,18 @@ Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). -Optimizing module counter. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 2.7.13. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. - -5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 2.7.14. Finished OPT passes. (There is nothing left to do.) 2.8. Executing WREDUCE pass (reducing word size of cells). -Optimizing module counter. Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). @@ -36122,24 +36028,6 @@ Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). - -5.16. Finished OPT passes. (There is nothing left to do.) - -6. Executing MEMORY pass. - -6.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. - -6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Performed a total of 0 transformations. - -6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - -6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). - -6.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). @@ -36147,26 +36035,9 @@ 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. - -6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). - -6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -6.9. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. 2.11.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \counter.. - -6.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). - -7. Executing OPT pass (performing simple optimizations). - -7.1. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. @@ -36174,12 +36045,9 @@ Finding unused cells or wires in module \memdemo.. 2.11.4. Finished fast OPT passes. -Optimizing module counter. 2.12. Printing statistics. -7.2. Executing OPT_MERGE pass (detect identical cells). - === memdemo === Number of wires: 18 @@ -36206,31 +36074,10 @@ 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -7.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.6. Executing OPT_DFF pass (perform DFF optimizations). - -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). -entering extended mode -(./basics_ast.texPerformed a total of 6 transformations. +Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Analyzing memdemo.mem write port 0. @@ -36242,18 +36089,6 @@ 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Finding unused cells or wires in module \counter.. - -7.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -7.9. Finished OPT passes. (There is nothing left to do.) - -8. Executing FSM pass (extract and optimize FSM). - -8.1. Executing FSM_DETECT pass (finding FSMs in design). - -8.2. Executing FSM_EXTRACT pass (extracting FSM from design). Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. @@ -36270,119 +36105,11 @@ Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). - -8.3. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.4. Executing OPT_CLEAN pass (remove unused cells and wires). -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.01 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif - -4.1.2. Re-integrating ABC results. - -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsFinding unused cells or wires in module \counter.. - -8.5. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). - -8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). - -8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). - -9. Executing OPT pass (performing simple optimizations). - -9.1. Executing OPT_EXPR pass (perform const folding). -ABC RESULTS: NOR cells: 3 -ABC RESULTS: NOT cells: 2 -ABC RESULTS: internal signals: 14 -ABC RESULTS: input signals: 2 -ABC RESULTS: output signals: 2 -Removing temp directory. -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.01 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif - -4.1.2. Re-integrating ABC results. Finding unused cells or wires in module \memdemo.. Removed 1 unused cells and 5 unused wires. 3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -ABC RESULTS: NOR cells: 3 -ABC RESULTS: NOT cells: 2 -ABC RESULTS: internal signals: 14 -ABC RESULTS: input signals: 2 -ABC RESULTS: output signals: 2 -Removing temp directory. -Optimizing module counter. - -9.2. Executing OPT_MERGE pass (detect identical cells). -Removed 0 unused cells and 36 unused wires. - -5. Generating Graphviz representation of design. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -9.5. Executing OPT_MERGE pass (detect identical cells). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). @@ -36398,198 +36125,240 @@ Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Removed 0 unused cells and 36 unused wires. +cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_flow.tex --interaction=nonstopmode +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 5 unused wires. + -5. Generating Graphviz representation of design. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +3.10. Executing MEMORY_COLLECT pass (generating $mem cells). -9.6. Executing OPT_DFF pass (perform DFF optimizations). -Writing dot description to `cmos_00.dot'. -Dumping module cmos_demo to page 1. +3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +Mapping memory \mem in module \memdemo: + created 4 $dff cells and 0 static cells of width 4. +Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] + read interface: 1 $dff and 3 $mux cells. + write interface: 12 write mux blocks. -6. Executing Verilog-2005 frontend: cmos.v +4. Executing OPT pass (performing simple optimizations). -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty -9.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `cmos_00.dot'. -Dumping module cmos_demo to page 1. -)Parsing Verilog input from `cmos.v' to AST representation. -Generating RTLIL representation for module `\cmos_demo'. -Successfully finished Verilog frontend. +4.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. + -7. Executing PREP pass. +4.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -7.1. Executing HIERARCHY pass (managing design hierarchy). +4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -7.1.1. Analyzing design hierarchy.. -Top module: \cmos_demo +4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -7.1.2. Analyzing design hierarchy.. -Top module: \cmos_demo -Removed 0 unused modules. +4.5. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `counter_00.dot'. +Dumping module counter to page 1. +Writing dot description to `scrambler_p01.dot'. +Dumping module scrambler to page 1. +Writing dot description to `submod_00.dot'. +Dumping module memdemo to page 1. -6. Executing Verilog-2005 frontend: cmos.v +4. Executing PROC pass (convert processes to netlists). -7.2. Executing PROC pass (convert processes to netlists). +6. Generating Graphviz representation of design. +Writing dot description to `memdemo_00.dot'. +Dumping module memdemo to page 1. -7.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. -7.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). + +9. Generating Graphviz representation of design. +Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. Removed a total of 0 dead cases. -7.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. -7.2.4. Executing PROC_INIT pass (extract init attributes). +4.4. Executing PROC_INIT pass (extract init attributes). -7.2.5. Executing PROC_ARST pass (detect async resets in processes). +4.5. Executing PROC_ARST pass (detect async resets in processes). -7.2.6. Executing PROC_ROM pass (convert switches to ROMs). +4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. + -7.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -7.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -7.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Parsing Verilog input from `cmos.v' to AST representation. -Generating RTLIL representation for module `\cmos_demo'. -Successfully finished Verilog frontend. - -7. Executing PREP pass. +4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -7.1. Executing HIERARCHY pass (managing design hierarchy). +6. Generating Graphviz representation of design. +Creating decoders for process `\counter.$proc$counter.v:6$1'. + 1/1: $0\count[1:0] -7.1.1. Analyzing design hierarchy.. -Top module: \cmos_demo +4.8. Executing PROC_DLATCH pass (convert process syncs to latches). -7.1.2. Analyzing design hierarchy.. -Finding unused cells or wires in module \counter.. +4.9. Executing PROC_DFF pass (convert process syncs to FFs). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -9.8. Executing OPT_EXPR pass (perform const folding). -Top module: \cmos_demo -Removed 0 unused modules. +4.6. Executing OPT_DFF pass (perform DFF optimizations). +Writing dot description to `scrambler_p02.dot'. +Dumping module xorshift32 to page 1. +Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. + created $dff cell `$procdff$8' with positive edge clock. -7.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -7.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. +Removing empty process `counter.$proc$counter.v:6$1'. +Cleaned up 2 empty switches. -7.2. Executing PROC pass (convert processes to netlists). -Cleaned up 0 empty switches. +4.12. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `example_first.dot'. +Dumping module example to page 1. -7.2.12. Executing OPT_EXPR pass (perform const folding). +3. Executing PROC pass (convert processes to netlists). -7.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Writing dot description to `submod_01.dot'. +Dumping module scramble to page 1. Cleaned up 0 empty switches. -7.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. -7.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 5 unused wires. +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. -7.2.4. Executing PROC_INIT pass (extract init attributes). +3.4. Executing PROC_INIT pass (extract init attributes). -7.2.5. Executing PROC_ARST pass (detect async resets in processes). +3.5. Executing PROC_ARST pass (detect async resets in processes). + +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -3.10. Executing MEMORY_COLLECT pass (generating $mem cells). +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\example.$proc$example.v:3$1'. + 1/1: $0\y[1:0] -7.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -7.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Writing dot description to `memdemo_01.dot'. +Dumping selected parts of module memdemo to page 1. -7.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +10. Generating Graphviz representation of design. -3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +7. Generating Graphviz representation of design. -7.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Optimizing module counter. -7.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +5. Executing OPT pass (performing simple optimizations). -7.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +5.1. Executing OPT_EXPR pass (perform const folding). +Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. + created $dff cell `$procdff$6' with positive edge clock. -7.2.12. Executing OPT_EXPR pass (perform const folding). -Mapping memory \mem in module \memdemo: - created 4 $dff cells and 0 static cells of width 4. -Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] - read interface: 1 $dff and 3 $mux cells. - write interface: 12 write mux blocks. +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -4. Executing OPT pass (performing simple optimizations). +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. +Removing empty process `example.$proc$example.v:3$1'. +Cleaned up 1 empty switch. -4.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. +3.12. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `submod_02.dot'. +Dumping module outstage to page 1. -7.3. Executing FUTURE pass. -Optimizing module counter. +11. Generating Graphviz representation of design. +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 28 unused wires. + -9.9. Finished OPT passes. (There is nothing left to do.) +4.8. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `memdemo_02.dot'. +Dumping selected parts of module memdemo to page 1. -10. Generating Graphviz representation of design. +8. Generating Graphviz representation of design. +Optimizing module counter. +Optimizing module example. -7.4. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. +5.2. Executing OPT_MERGE pass (detect identical cells). -7.3. Executing FUTURE pass. -Writing dot description to `counter_01.dot'. +4. Generating Graphviz representation of design. +Writing dot description to `counter_00.dot'. Dumping module counter to page 1. +Writing dot description to `submod_03.dot'. +Dumping module selstage to page 1. -7.4. Executing OPT_EXPR pass (perform const folding). +4. Executing PROC pass (convert processes to netlists). -11. Executing TECHMAP pass (map to technology primitives). -Optimizing module cmos_demo. +4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -7.5. Executing OPT_CLEAN pass (remove unused cells and wires). +4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. +Removed a total of 0 dead cases. -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v -Optimizing module cmos_demo. +4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -7.5. Executing OPT_CLEAN pass (remove unused cells and wires). +4.4. Executing PROC_INIT pass (extract init attributes). -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styFinding unused cells or wires in module \cmos_demo.. -Removed 0 unused cells and 1 unused wires. - +4.5. Executing PROC_ARST pass (detect async resets in processes). -7.6. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. +4.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + -7.7. Executing OPT pass (performing simple optimizations). +4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\counter.$proc$counter.v:6$1'. + 1/1: $0\count[1:0] -7.7.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module memdemo. - +4.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -4.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \cmos_demo.. -Removed 0 unused cells and 1 unused wires. +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. -7.6. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Optimizing module memdemo. +Writing dot description to `example_second.dot'. +Dumping module example to page 1. -7.7. Executing OPT pass (performing simple optimizations). +End of script. Logfile hash: ae3c266750, CPU: user 0.06s system 0.00s, MEM: 13.91 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 24% 12x opt_clean (0 sec), 22% 9x opt_expr (0 sec), ... + Optimizing cells in module \counter. +Performed a total of 0 changes. -7.7.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. +5.5. Executing OPT_MERGE pass (detect identical cells). -7.7.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +4.9. Rerunning OPT passes. (Maybe there is more to do..) -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -36597,206 +36366,290 @@ Removed 0 multiplexer ports. -4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Writing dot description to `memdemo_03.dot'. +Dumping selected parts of module memdemo to page 1. + +4.9. Executing PROC_DFF pass (convert process syncs to FFs). Optimizing cells in module \memdemo. Performed a total of 0 changes. -4.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\cmos_demo'. +4.12. Executing OPT_MERGE pass (detect identical cells). + +9. Generating Graphviz representation of design. +Finding identical cells in module `\counter'. Removed a total of 0 cells. -7.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \cmos_demo.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +5.6. Executing OPT_DFF pass (perform DFF optimizations). +Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. + created $dff cell `$procdff$8' with positive edge clock. -7.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \cmos_demo. -Performed a total of 0 changes. +4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -7.7.5. Executing OPT_MERGE pass (detect identical cells). -Optimizing module cmos_demo. +4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. +Removing empty process `counter.$proc$counter.v:6$1'. +Cleaned up 2 empty switches. + +4.12. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -4.6. Executing OPT_DFF pass (perform DFF optimizations). +4.13. Executing OPT_DFF pass (perform DFF optimizations). +Optimizing module example. +Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). +Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). -7.7.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +echo on -7.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +yosys> cd xorshift32 -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.styFinding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. +yosys [xorshift32]> rename n2 in +Renaming wire n2 to in in module xorshift32. -7.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \cmos_demo.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +yosys [xorshift32]> rename n1 out +Renaming wire n1 to out in module xorshift32. -7.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \cmos_demo. -Performed a total of 0 changes. +yosys [xorshift32]> eval -set in 1 -show out -7.7.5. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \cmos_demo.. +7. Executing EVAL pass (evaluate the circuit given an input). +Writing dot description to `memdemo_04.dot'. +Dumping selected parts of module memdemo to page 1. -7.7.7. Executing OPT_EXPR pass (perform const folding). +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -)Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. +10. Generating Graphviz representation of design. +Failed to evaluate signal \out: Missing value for \out. -7.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module cmos_demo. +yosys [xorshift32]> eval -set in 270369 -show out -7.7.8. Finished OPT passes. (There is nothing left to do.) +8. Executing EVAL pass (evaluate the circuit given an input). +Optimizing module counter. +Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif -7.8. Executing WREDUCE pass (reducing word size of cells). +16.1.2. Re-integrating ABC results. -7.9. Executing OPT_CLEAN pass (remove unused cells and wires). -)Finding unused cells or wires in module \cmos_demo.. +5. Executing OPT pass (performing simple optimizations). -7.7.7. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 28 unused wires. - +5.1. Executing OPT_EXPR pass (perform const folding). +Failed to evaluate signal \out: Missing value for \out. -4.8. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \cmos_demo.. +yosys [xorshift32]> sat -set out 632435482 -7.10. Executing MEMORY_COLLECT pass (generating $mem cells). +9. Executing SAT pass (solving SAT problems in the circuit). +Finding unused cells or wires in module \counter.. +Removed 2 unused cells and 5 unused wires. + -7.11. Executing OPT pass (performing simple optimizations). +5.8. Executing OPT_EXPR pass (perform const folding). +ABC RESULTS: NAND cells: 4 +ABC RESULTS: NOR cells: 4 +ABC RESULTS: NOT cells: 3 +ABC RESULTS: internal signals: 5 +ABC RESULTS: input signals: 4 +ABC RESULTS: output signals: 2 +Removing temp directory. +Finding unused cells or wires in module \memdemo.. -7.11.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. +4.15. Executing OPT_EXPR pass (perform const folding). +../../../../yosys submod.ys +Optimizing module counter. -7.7.8. Finished OPT passes. (There is nothing left to do.) +5.9. Rerunning OPT passes. (Maybe there is more to do..) -7.8. Executing WREDUCE pass (reducing word size of cells). +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -7.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module cmos_demo. +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. -7.11.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \cmos_demo.. +5.12. Executing OPT_MERGE pass (detect identical cells). +Removed 0 unused cells and 10 unused wires. -7.10. Executing MEMORY_COLLECT pass (generating $mem cells). +17. Generating Graphviz representation of design. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). + +17.1. Executing Verilog-2005 frontend: mycells.v Optimizing module memdemo. -7.11. Executing OPT pass (performing simple optimizations). +4.16. Finished OPT passes. (There is nothing left to do.) -7.11.1. Executing OPT_EXPR pass (perform const folding). +Setting up SAT problem: +Import set-constraint: \out = 632435482 +Final constraint equation: \out = 632435482 +Imported 3 cells to SAT database. -4.9. Rerunning OPT passes. (Maybe there is more to do..) +Solving problem with 665 variables and 1735 clauses.. +Optimizing module counter. -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. +5.2. Executing OPT_MERGE pass (detect identical cells). + +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +17.2. Continuing show pass. +Finding unused cells or wires in module \counter.. + +5.15. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. - + -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \memdemo. +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. Performed a total of 0 changes. -4.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\cmos_demo'. +5.5. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `counter_03.dot'. +Dumping module counter to page 1. + +18. Executing Verilog backend. + +18.1. Executing BMUXMAP pass. + +18.2. Executing DEMUXMAP pass. + +5.2. Executing OPT_MERGE pass (detect identical cells). +Optimizing module counter. +Finding identical cells in module `\counter'. Removed a total of 0 cells. -7.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module cmos_demo. +5.6. Executing OPT_DFF pass (perform DFF optimizations). -7.11.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\memdemo'. +5.16. Finished OPT passes. (There is nothing left to do.) + +6. Executing MEMORY pass. + +6.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Finding identical cells in module `\example'. Removed a total of 0 cells. -4.13. Executing OPT_DFF pass (perform DFF optimizations). -Finding unused cells or wires in module \cmos_demo.. +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. + dead port 2/2 on $mux $ternary$example.v:5$3. +Removed 1 multiplexer ports. + -7.11.4. Finished fast OPT passes. +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \example. +Performed a total of 0 changes. -7.12. Printing statistics. +5.5. Executing OPT_MERGE pass (detect identical cells). +Performed a total of 0 transformations. -=== cmos_demo === +6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - Number of wires: 3 - Number of wire bits: 4 - Number of public wires: 3 - Number of public wire bits: 4 - Number of ports: 3 - Number of port bits: 4 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 1 - $add 1 +6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). -7.13. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. +6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +Dumping module `\counter'. -8. Executing TECHMAP pass (map to technology primitives). +End of script. Logfile hash: 1e4afcb258, CPU: user 0.05s system 0.01s, MEM: 16.90 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 58% 1x abc (0 sec), 10% 13x opt_expr (0 sec), ... +Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). +Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v -Finding identical cells in module `\cmos_demo'. +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\example'. Removed a total of 0 cells. -7.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). - -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). +5.6. Executing OPT_DFF pass (perform DFF optimizations). -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)Finding unused cells or wires in module \cmos_demo.. +6.6. Executing OPT_CLEAN pass (remove unused cells and wires). -7.11.4. Finished fast OPT passes. +5. Executing SUBMOD pass (moving cells to submodules as requested). -7.12. Printing statistics. +5.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). -=== cmos_demo === +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. - Number of wires: 3 - Number of wire bits: 4 - Number of public wires: 3 - Number of public wire bits: 4 - Number of ports: 3 - Number of port bits: 4 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 1 - $add 1 +6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +SAT solving finished - model found: -7.13. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. + Signal Name Dec Hex Bin + --------------- ----------- --------- ----------------------------------- + \out 632435482 25b2331a 00100101101100100011001100011010 -8. Executing TECHMAP pass (map to technology primitives). -) -8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v +End of script. Logfile hash: c8ba6caa2d, CPU: user 0.01s system 0.01s, MEM: 13.39 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 25% 1x sat (0 sec), 17% 1x submod (0 sec), ... Finding unused cells or wires in module \memdemo.. -4.15. Executing OPT_EXPR pass (perform const folding). -))Optimizing module memdemo. +6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -4.16. Finished OPT passes. (There is nothing left to do.) +5.2. Continuing SUBMOD pass. +Performed a total of 0 transformations. -5. Executing SUBMOD pass (moving cells to submodules as requested). +6.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. +Removed 2 unused cells and 5 unused wires. + -5.1. Executing OPT_CLEAN pass (remove unused cells and wires). +5.8. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \counter.. -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgFinding unused cells or wires in module \memdemo.. +6.10. Executing MEMORY_COLLECT pass (generating $mem cells). -5.2. Continuing SUBMOD pass. -)Creating submodule scramble (\scramble) of module \memdemo. +6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). + +7. Executing OPT pass (performing simple optimizations). + +7.1. Executing OPT_EXPR pass (perform const folding). +Creating submodule scramble (\scramble) of module \memdemo. signal \mem[0]: output \mem[0] signal \d: input \d signal $memory\mem$wrmux[1][2][0]$y$119: internal @@ -36840,40 +36693,56 @@ 6. Executing SUBMOD pass (moving cells to submodules as requested). 6.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. +../../../../yosys cmos.ys -11.2. Continuing TECHMAP pass. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `submod.ys' -- + +1. Executing Verilog-2005 frontend: memdemo.v +Optimizing module counter. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 14 unused wires. + +7.2. Executing OPT_MERGE pass (detect identical cells). 6.2. Continuing SUBMOD pass. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' +Optimizing module counter. + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +7.5. Executing OPT_MERGE pass (detect identical cells). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). Creating submodule outstage (\outstage) of module \memdemo. signal \mem[0]: input \mem[0] signal \clk: input \clk @@ -36889,20 +36758,71 @@ cell $memory\mem$rdmux[0][1][0]$82 ($mux) cell $memory\mem$rdmux[0][0][0]$79 ($mux) cell $\mem$rdreg[0] ($dff) +Finding unused cells or wires in module \example.. +Removed 1 unused cells and 4 unused wires. + + +5.8. Executing OPT_EXPR pass (perform const folding). 7. Executing SUBMOD pass (moving cells to submodules as requested). 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.6. Executing OPT_DFF pass (perform DFF optimizations). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). + +7.7. Executing OPT_CLEAN pass (remove unused cells and wires). +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +Optimizing module example. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. 7.2. Continuing SUBMOD pass. -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \example. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). + +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +entering extended mode +(./basics_parsetree.texParsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. +Successfully finished Verilog frontend. + +2. Executing PREP pass. +Finding unused cells or wires in module \counter.. + +7.8. Executing OPT_EXPR pass (perform const folding). + +2.1. Executing HIERARCHY pass (managing design hierarchy). + +2.1.1. Analyzing design hierarchy.. +Top module: \memdemo + +2.1.2. Analyzing design hierarchy.. +Finding identical cells in module `\example'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). +Top module: \memdemo +Removed 0 unused modules. Creating submodule selstage (\selstage) of module \memdemo. signal \d: input \d signal \s1: input \s1 @@ -36915,265 +36835,168 @@ cell $ternary$memdemo.v:14$33 ($mux) cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) -8. Generating Graphviz representation of design. - -(/usr/share/texlive/texmf-dist/tex/latex/base/article.clsWriting dot description to `submod_00.dot'. -Dumping module memdemo to page 1. - -9. Generating Graphviz representation of design. -Writing dot description to `submod_01.dot'. -Dumping module scramble to page 1. - -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -10. Generating Graphviz representation of design. -Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. +2.2. Executing PROC pass (convert processes to netlists). -8.2. Continuing TECHMAP pass. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Writing dot description to `submod_02.dot'. -Dumping module outstage to page 1. +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -11. Generating Graphviz representation of design. -)Writing dot description to `submod_03.dot'. -Dumping module selstage to page 1. -Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $mux. +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -End of script. Logfile hash: ae3c266750, CPU: user 0.03s system 0.00s, MEM: 13.29 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 26% 12x opt_clean (0 sec), 20% 9x opt_expr (0 sec), ... -)Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 14 assignments to connections. -8.2. Continuing TECHMAP pass. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +2.2.4. Executing PROC_INIT pass (extract init attributes). -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.styUsing template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $mux. +2.2.5. Executing PROC_ARST pass (detect async resets in processes). -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty../../../../yosys submod.ys -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - +8. Generating Graphviz representation of design. -12. Executing OPT pass (performing simple optimizations). +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -12.1. Executing OPT_EXPR pass (perform const folding). +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)Optimizing module counter. - +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -12.2. Executing OPT_MERGE pass (detect identical cells). +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.defUsing template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $and. -Using extmapper simplemap for cells of type $or. -No more expansions possible. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Finding unused cells or wires in module \counter.. -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +5.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - +7.9. Finished OPT passes. (There is nothing left to do.) -9. Executing SPLITNETS pass (splitting up multi-bit signals). - Optimizing cells in module \counter. -Performed a total of 0 changes. +8. Executing FSM pass (extract and optimize FSM). -12.5. Executing OPT_MERGE pass (detect identical cells). -)Finding identical cells in module `\counter'. -Removed a total of 0 cells. +8.1. Executing FSM_DETECT pass (finding FSMs in design). +Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$35' with positive edge clock. +Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$36' with positive edge clock. +Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$37' with positive edge clock. +Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$38' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$46' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$47' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$48' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$49' with positive edge clock. -12.6. Executing OPT_DFF pass (perform DFF optimizations). +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `memdemo.$proc$memdemo.v:11$7'. +Cleaned up 0 empty switches. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))Finding unused cells or wires in module \counter.. -Removed 1 unused cells and 32 unused wires. - +2.2.12. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \example.. -12.8. Executing OPT_EXPR pass (perform const folding). +5.15. Executing OPT_EXPR pass (perform const folding). -10. Executing ABC pass (technology mapping using ABC). +8.2. Executing FSM_EXTRACT pass (extracting FSM from design). -10.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. -Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. +8.3. Executing FSM_OPT pass (simple optimizations of FSMs). -10.1.1. Executing ABC. +8.4. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. Optimizing module counter. -12.9. Rerunning OPT passes. (Maybe there is more to do..) +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +5.16. Finished OPT passes. (There is nothing left to do.) +Optimizing module example. -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +6. Executing MEMORY pass. -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +6.1. Executing OPT_MEM pass (optimize memories). -12.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2.3. Executing FUTURE pass. +Performed a total of 0 transformations. -12.13. Executing OPT_DFF pass (perform DFF optimizations). +6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +5.16. Finished OPT passes. (There is nothing left to do.) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.styThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. +6. Generating Graphviz representation of design. Finding unused cells or wires in module \counter.. -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -12.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +8.5. Executing FSM_OPT pass (simple optimizations of FSMs). -12.16. Finished OPT passes. (There is nothing left to do.) +8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). -13. Executing SPLITNETS pass (splitting up multi-bit signals). -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $and. -Using extmapper simplemap for cells of type $or. -No more expansions possible. +8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.styRemoved 0 unused cells and 2 unused wires. +8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). -14. Generating Graphviz representation of design. - +9. Executing OPT pass (performing simple optimizations). -9. Executing SPLITNETS pass (splitting up multi-bit signals). -entering extended mode -(./basics_flow.texWriting dot description to `counter_02.dot'. -Dumping module counter to page 1. +9.1. Executing OPT_EXPR pass (perform const folding). +Performed a total of 0 transformations. -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). - cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. - final dff cell mappings: - unmapped dff cell: $_DFF_N_ - \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); - unmapped dff cell: $_DFF_NN0_ - unmapped dff cell: $_DFF_NN1_ - unmapped dff cell: $_DFF_NP0_ - unmapped dff cell: $_DFF_NP1_ - unmapped dff cell: $_DFF_PN0_ - unmapped dff cell: $_DFF_PN1_ - unmapped dff cell: $_DFF_PP0_ - unmapped dff cell: $_DFF_PP1_ - unmapped dff cell: $_DFFE_NN_ - unmapped dff cell: $_DFFE_NP_ - unmapped dff cell: $_DFFE_PN_ - unmapped dff cell: $_DFFE_PP_ - unmapped dff cell: $_DFFSR_NNN_ - unmapped dff cell: $_DFFSR_NNP_ - unmapped dff cell: $_DFFSR_NPN_ - unmapped dff cell: $_DFFSR_NPP_ - unmapped dff cell: $_DFFSR_PNN_ - unmapped dff cell: $_DFFSR_PNP_ - unmapped dff cell: $_DFFSR_PPN_ - unmapped dff cell: $_DFFSR_PPP_ +6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). -Mapping DFF cells in module `\counter': - mapped 2 $_DFF_P_ cells to \DFF cells. +6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). -16. Executing ABC pass (technology mapping using ABC). +6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. -Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. +2.4. Executing OPT_EXPR pass (perform const folding). -16.1.1. Executing ABC. +6.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module counter. +Optimizing module memdemo. -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -10. Executing ABC pass (technology mapping using ABC). +9.2. Executing OPT_MERGE pass (detect identical cells). -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -10.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. -Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -10.1.1. Executing ABC. +9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +9.5. Executing OPT_MERGE pass (detect identical cells). -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.styFinding unused cells or wires in module \counter.. + +6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +)Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.6. Executing OPT_DFF pass (perform DFF optimizations). + /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | @@ -37181,11 +37004,23 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `submod.ys' -- +-- Executing script file `cmos.ys' -- -1. Executing Verilog-2005 frontend: memdemo.v -))))Parsing Verilog input from `memdemo.v' to AST representation. -Generating RTLIL representation for module `\memdemo'. +1. Executing Verilog-2005 frontend: cmos.v + +9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 12 unused cells and 26 unused wires. + +6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. + +6.9. Executing OPT_CLEAN pass (remove unused cells and wires). + + +2.6. Executing CHECK pass (checking for obvious problems). +Parsing Verilog input from `cmos.v' to AST representation. +Generating RTLIL representation for module `\cmos_demo'. Successfully finished Verilog frontend. 2. Executing PREP pass. @@ -37193,10 +37028,13 @@ 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. -Top module: \memdemo +Finding unused cells or wires in module \counter.. + +9.8. Executing OPT_EXPR pass (perform const folding). +Top module: \cmos_demo 2.1.2. Analyzing design hierarchy.. -Top module: \memdemo +Top module: \cmos_demo Removed 0 unused modules. 2.2. Executing PROC pass (convert processes to netlists). @@ -37209,7 +37047,7 @@ 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. -Promoted 14 assignments to connections. +Promoted 0 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). @@ -37219,127 +37057,47 @@ Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Checking module memdemo... +Found and reported 0 problems. -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.texCreating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$35' with positive edge clock. -Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$36' with positive edge clock. -Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$37' with positive edge clock. -Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$38' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$46' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$47' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$48' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$49' with positive edge clock. - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `memdemo.$proc$memdemo.v:11$7'. -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). +2.7. Executing OPT pass (performing simple optimizations). -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))Optimizing module memdemo. -) -2.3. Executing FUTURE pass. -) -2.4. Executing OPT_EXPR pass (perform const folding). -Optimizing module memdemo. +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +2.7.1. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \counter.. -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.styFinding unused cells or wires in module \memdemo.. -Removed 12 unused cells and 26 unused wires. -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.01 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif +6.10. Executing MEMORY_COLLECT pass (generating $mem cells). -16.1.2. Re-integrating ABC results. - +6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -2.6. Executing CHECK pass (checking for obvious problems). -ABC RESULTS: NAND cells: 4 -ABC RESULTS: NOR cells: 4 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. +7. Executing OPT pass (performing simple optimizations). -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.texChecking module memdemo... -Found and reported 0 problems. +7.1. Executing OPT_EXPR pass (perform const folding). -2.7. Executing OPT pass (performing simple optimizations). +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -2.7.1. Executing OPT_EXPR pass (perform const folding). +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgRemoved 0 unused cells and 10 unused wires. +2.2.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. -17. Generating Graphviz representation of design. +9.9. Finished OPT passes. (There is nothing left to do.) -17.1. Executing Verilog-2005 frontend: mycells.v +10. Generating Graphviz representation of design. Optimizing module memdemo. 2.7.2. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. -17.2. Continuing show pass. +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +Optimizing module cmos_demo. + +2.3. Executing FUTURE pass. +Optimizing module counter. Finding identical cells in module `\memdemo'. Removed a total of 4 cells. @@ -37353,38 +37111,64 @@ 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -) Optimizing cells in module \memdemo. + +7.2. Executing OPT_MERGE pass (detect identical cells). + Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. -18. Executing Verilog backend. - -18.1. Executing BMUXMAP pass. - -18.2. Executing DEMUXMAP pass. +2.4. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Dumping module `\counter'. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -End of script. Logfile hash: 1e4afcb258, CPU: user 0.04s system 0.00s, MEM: 16.36 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 51% 1x abc (0 sec), 11% 4x read_verilog (0 sec), ... +7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +7.5. Executing OPT_MERGE pass (detect identical cells). +Optimizing module cmos_demo. + +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.6. Executing OPT_DFF pass (perform DFF optimizations). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 4 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) +7.7. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. +Finding unused cells or wires in module \cmos_demo.. +Removed 0 unused cells and 1 unused wires. + + +2.6. Executing CHECK pass (checking for obvious problems). +Checking module cmos_demo... +Found and reported 0 problems. + +2.7. Executing OPT pass (performing simple optimizations). 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) +2.7.1. Executing OPT_EXPR pass (perform const folding). + 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. +)Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. @@ -37396,25 +37180,52 @@ Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \counter.. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.texFinding identical cells in module `\memdemo'. +7.8. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module cmos_demo. -(/usr/share/texlive/texmf-dist/tex/latex/base/article.clsFinding unused cells or wires in module \memdemo.. +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \memdemo.. 2.7.13. Executing OPT_EXPR pass (perform const folding). -cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex approach_flow.tex --interaction=nonstopmode +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \cmos_demo.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \cmos_demo. +Performed a total of 0 changes. + +2.7.5. Executing OPT_MERGE pass (detect identical cells). +Optimizing module counter. +cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex simplified_rtlil.tex --interaction=nonstopmode + +7.9. Finished OPT passes. (There is nothing left to do.) Optimizing module memdemo. +8. Executing FSM pass (extract and optimize FSM). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). + +8.1. Executing FSM_DETECT pass (finding FSMs in design). + 2.7.14. Finished OPT passes. (There is nothing left to do.) 2.8. Executing WREDUCE pass (reducing word size of cells). -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.cloRemoved top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.styRemoved top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). @@ -37424,29 +37235,69 @@ Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -))Finding unused cells or wires in module \memdemo.. + +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +8.2. Executing FSM_EXTRACT pass (extracting FSM from design). + +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.texFinding unused cells or wires in module \cmos_demo.. + +2.7.7. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)Finding unused cells or wires in module \memdemo.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +8.3. Executing FSM_OPT pass (simple optimizations of FSMs). + +8.4. Executing OPT_CLEAN pass (remove unused cells and wires). ) 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). - (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfgOptimizing module memdemo. +Optimizing module cmos_demo. + +2.7.8. Finished OPT passes. (There is nothing left to do.) + +2.8. Executing WREDUCE pass (reducing word size of cells). ) +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +)Finding unused cells or wires in module \counter.. + +8.5. Executing FSM_OPT pass (simple optimizations of FSMs). +Optimizing module memdemo. + +8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). + +8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). + +8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 2.11.2. Executing OPT_MERGE pass (detect identical cells). -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -fFinding identical cells in module `\memdemo'. + +9. Executing OPT pass (performing simple optimizations). + +9.1. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \cmos_demo.. + +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +2.11. Executing OPT pass (performing simple optimizations). + +2.11.1. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -))This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. +Optimizing module cmos_demo. + +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Optimizing module counter. Finding unused cells or wires in module \memdemo.. 2.11.4. Finished fast OPT passes. +9.2. Executing OPT_MERGE pass (detect identical cells). + 2.12. Printing statistics. === memdemo === @@ -37469,8 +37320,12 @@ $xor 1 2.13. Executing CHECK pass (checking for obvious problems). -))Checking module memdemo... +Checking module memdemo... Found and reported 0 problems. +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). 3. Executing MEMORY pass. @@ -37478,9 +37333,56 @@ Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)Performed a total of 6 transformations. +9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +9.5. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \cmos_demo.. + +2.11.4. Finished fast OPT passes. + +2.12. Printing statistics. + +=== cmos_demo === + + Number of wires: 3 + Number of wire bits: 4 + Number of public wires: 3 + Number of public wire bits: 4 + Number of ports: 3 + Number of port bits: 4 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 1 + $add 1 + +2.13. Executing CHECK pass (checking for obvious problems). +Checking module cmos_demo... +Found and reported 0 problems. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.6. Executing OPT_DFF pass (perform DFF optimizations). + +3. Executing TECHMAP pass (map to technology primitives). + +3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + +9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Analyzing memdemo.mem write port 0. @@ -37493,9 +37395,10 @@ 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgFinding unused cells or wires in module \counter.. + +9.8. Executing OPT_EXPR pass (perform const folding). +)Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. Write port 2: non-transparent. @@ -37511,12 +37414,16 @@ Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.styFinding unused cells or wires in module \memdemo.. +Optimizing module counter. +Finding unused cells or wires in module \memdemo.. Removed 1 unused cells and 5 unused wires. 3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). + +9.9. Finished OPT passes. (There is nothing left to do.) + +10. Generating Graphviz representation of design. Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). @@ -37532,16 +37439,15 @@ Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). - (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.texFinding unused cells or wires in module \memdemo.. +Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -Mapping memory \mem in module \memdemo: + +(/usr/share/texlive/texmf-dist/tex/latex/base/article.clsMapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] read interface: 1 $dff and 3 $mux cells. @@ -37550,13 +37456,13 @@ 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)Optimizing module memdemo. + +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.cloOptimizing module memdemo. 4.2. Executing OPT_MERGE pass (detect identical cells). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.texFinding identical cells in module `\memdemo'. +)Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). @@ -37572,23 +37478,18 @@ Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). -)cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_flow.tex --interaction=nonstopmode 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \memdemo.. +)Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 28 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.styOptimizing module memdemo. +Optimizing module memdemo. 4.9. Rerunning OPT passes. (Maybe there is more to do..) @@ -37605,29 +37506,66 @@ Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\memdemo'. + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.styFinding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.styFinding unused cells or wires in module \memdemo.. +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.styFinding unused cells or wires in module \memdemo.. 4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 4.16. Finished OPT passes. (There is nothing left to do.) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.texParsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +3.2. Continuing TECHMAP pass. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.defRunning "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +) 5. Executing SUBMOD pass (moving cells to submodules as requested). 5.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)Finding unused cells or wires in module \memdemo.. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.texFinding unused cells or wires in module \memdemo.. 5.2. Continuing SUBMOD pass. -Creating submodule scramble (\scramble) of module \memdemo. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))Creating submodule scramble (\scramble) of module \memdemo. signal \mem[0]: output \mem[0] signal \d: input \d signal $memory\mem$wrmux[1][2][0]$y$119: internal @@ -37671,12 +37609,45 @@ 6. Executing SUBMOD pass (moving cells to submodules as requested). 6.1. Executing OPT_CLEAN pass (remove unused cells and wires). - -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)Finding unused cells or wires in module \memdemo.. +Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 14 unused wires. 6.2. Continuing SUBMOD pass. +Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif + +4.1.2. Re-integrating ABC results. +ABC RESULTS: NOR cells: 3 +ABC RESULTS: NOT cells: 2 +ABC RESULTS: internal signals: 14 +ABC RESULTS: input signals: 2 +ABC RESULTS: output signals: 2 +Removing temp directory. Creating submodule outstage (\outstage) of module \memdemo. signal \mem[0]: input \mem[0] signal \clk: input \clk @@ -37697,13 +37668,15 @@ 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)Finding unused cells or wires in module \memdemo.. +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.styFinding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. 7.2. Continuing SUBMOD pass. +Removed 0 unused cells and 36 unused wires. -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defCreating submodule selstage (\selstage) of module \memdemo. +5. Generating Graphviz representation of design. +Creating submodule selstage (\selstage) of module \memdemo. signal \d: input \d signal \s1: input \s1 signal \s2: input \s2 @@ -37716,49 +37689,353 @@ cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) 8. Generating Graphviz representation of design. -entering extended mode -(./basics_parsetree.texWriting dot description to `submod_00.dot'. -Dumping module memdemo to page 1. +Writing dot description to `cmos_00.dot'. +Dumping module cmos_demo to page 1. -9. Generating Graphviz representation of design. -entering extended mode -(./levels_of_abstraction.texWriting dot description to `submod_01.dot'. -Dumping module scramble to page 1. +6. Executing Verilog-2005 frontend: cmos.v +Parsing Verilog input from `cmos.v' to AST representation. +Generating RTLIL representation for module `\cmos_demo'. +Successfully finished Verilog frontend. -10. Generating Graphviz representation of design. -Writing dot description to `submod_02.dot'. -Dumping module outstage to page 1. +7. Executing PREP pass. -11. Generating Graphviz representation of design. +7.1. Executing HIERARCHY pass (managing design hierarchy). + +7.1.1. Analyzing design hierarchy.. +Top module: \cmos_demo + +7.1.2. Analyzing design hierarchy.. +Top module: \cmos_demo +Removed 0 unused modules. + +7.2. Executing PROC pass (convert processes to netlists). + +7.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +7.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. + +7.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +7.2.4. Executing PROC_INIT pass (extract init attributes). + +7.2.5. Executing PROC_ARST pass (detect async resets in processes). + +7.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + +7.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). + +7.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +7.2.9. Executing PROC_DFF pass (convert process syncs to FFs). + +7.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +7.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.styCleaned up 0 empty switches. + +7.2.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module cmos_demo. + +7.3. Executing FUTURE pass. + +7.4. Executing OPT_EXPR pass (perform const folding). +Optimizing module cmos_demo. + +7.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \cmos_demo.. +Removed 0 unused cells and 1 unused wires. + + +7.6. Executing CHECK pass (checking for obvious problems). +Checking module cmos_demo... +Found and reported 0 problems. +7.7. Executing OPT pass (performing simple optimizations). + +7.7.1. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.styOptimizing module cmos_demo. + +7.7.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +7.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \cmos_demo.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +7.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \cmos_demo. +Performed a total of 0 changes. + +7.7.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +7.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)Finding unused cells or wires in module \cmos_demo.. + +7.7.7. Executing OPT_EXPR pass (perform const folding). +Optimizing module cmos_demo. + +7.7.8. Finished OPT passes. (There is nothing left to do.) + +7.8. Executing WREDUCE pass (reducing word size of cells). + +7.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + + +4. Executing ABC pass (technology mapping using ABC). +Finding unused cells or wires in module \cmos_demo.. + +7.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +7.11. Executing OPT pass (performing simple optimizations). + +7.11.1. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. +Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. + +4.1.1. Executing ABC. +Optimizing module cmos_demo. + +7.11.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +7.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defFinding unused cells or wires in module \cmos_demo.. + +7.11.4. Finished fast OPT passes. + +7.12. Printing statistics. + +=== cmos_demo === + + Number of wires: 3 + Number of wire bits: 4 + Number of public wires: 3 + Number of public wire bits: 4 + Number of ports: 3 + Number of port bits: 4 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 1 + $add 1 + +7.13. Executing CHECK pass (checking for obvious problems). +Checking module cmos_demo... +Found and reported 0 problems. + +8. Executing TECHMAP pass (map to technology primitives). + +8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v +entering extended mode +(./basics_abstractions.tex LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsWriting dot description to `submod_03.dot'. -Dumping module selstage to page 1. +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.texParsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. -End of script. Logfile hash: ae3c266750, CPU: user 0.03s system 0.00s, MEM: 13.23 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 12x opt_clean (0 sec), 20% 9x opt_expr (0 sec), ... +8.2. Continuing TECHMAP pass. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texRunning "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $mux. +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex))entering extended mode +(./levels_of_abstraction.tex))entering extended mode +(./basics_flow.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg))))Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $and. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + + +9. Executing SPLITNETS pass (splitting up multi-bit signals). + +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' -) +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) +10. Executing ABC pass (technology mapping using ABC). + +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +10.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. + Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.styExtracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. + +10.1.1. Executing ABC. + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.texThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.styRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.styThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex)entering extended mode +(./basics_ast.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif @@ -37785,116 +38062,439 @@ ABC: + &put ABC: + write_blif /output.blif -10.1.2. Re-integrating ABC results. - -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.styABC RESULTS: NOR cells: 3 +4.1.2. Re-integrating ABC results. + (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfgABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 2 ABC RESULTS: internal signals: 14 ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex))Removed 0 unused cells and 1142 unused wires. +)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)Removed 0 unused cells and 36 unused wires. -11. Generating Graphviz representation of design. +5. Generating Graphviz representation of design. +)) +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.styWriting dot description to `cmos_00.dot'. +Dumping module cmos_demo to page 1. +Writing dot description to `submod_00.dot'. +Dumping module memdemo to page 1. +Writing dot description to `counter_01.dot'. +Dumping module counter to page 1. +Writing dot description to `counter_00.dot'. +Dumping module counter to page 1. -11.1. Executing Verilog-2005 frontend: ../intro/mycells.v -Parsing Verilog input from `../intro/mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +texWriting dot description to `memdemo_05.dot'. +Dumping selected parts of module memdemo to page 1. + +4. Executing PROC pass (convert processes to netlists). +) +4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). + +6. Executing Verilog-2005 frontend: cmos.v + +11. Executing TECHMAP pass (map to technology primitives). +Cleaned up 0 empty switches. + +4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). + +9. Generating Graphviz representation of design. +Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. +Removed a total of 0 dead cases. + +4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +4.4. Executing PROC_INIT pass (extract init attributes). + +4.5. Executing PROC_ARST pass (detect async resets in processes). +) +4.6. Executing PROC_ROM pass (convert switches to ROMs). + +11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v +Converted 0 switches. + + +4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Parsing Verilog input from `cmos.v' to AST representation. +Generating RTLIL representation for module `\cmos_demo'. Successfully finished Verilog frontend. -11.2. Continuing show pass. +7. Executing PREP pass. -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.texWriting dot description to `cmos_01.dot'. -Dumping module cmos_demo to page 1. +7.1. Executing HIERARCHY pass (managing design hierarchy). +Creating decoders for process `\counter.$proc$counter.v:6$1'. + 1/1: $0\count[1:0] -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -End of script. Logfile hash: d5ca73b3cb, CPU: user 0.04s system 0.00s, MEM: 16.35 MB peak +4.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +7.1.1. Analyzing design hierarchy.. +Top module: \cmos_demo + +7.1.2. Analyzing design hierarchy.. +Top module: \cmos_demo +Removed 0 unused modules. + +7.2. Executing PROC pass (convert processes to netlists). + +7.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +7.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.styRemoved a total of 0 dead cases. + +7.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +7.2.4. Executing PROC_INIT pass (extract init attributes). + +7.2.5. Executing PROC_ARST pass (detect async resets in processes). + +7.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + +7.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). + +7.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +End of script. Logfile hash: ade9f67caf, CPU: user 0.02s system 0.01s, MEM: 13.98 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 65% 2x abc (0 sec), 12% 7x read_verilog (0 sec), ... +Time spent: 22% 9x opt_expr (0 sec), 19% 9x opt_clean (0 sec), ... + +4.9. Executing PROC_DFF pass (convert process syncs to FFs). + +7.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Writing dot description to `example_third.dot'. +Dumping module example to page 1. + +7.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +7.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +7.2.12. Executing OPT_EXPR pass (perform const folding). +Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. + created $dff cell `$procdff$8' with positive edge clock. + +4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. +Removing empty process `counter.$proc$counter.v:6$1'. +Cleaned up 2 empty switches. + +4.12. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `submod_01.dot'. +Dumping module scramble to page 1. + +End of script. Logfile hash: 1968fcbfd1, CPU: user 0.01s system 0.01s, MEM: 12.66 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 32% 4x opt_expr (0 sec), 22% 3x show (0 sec), ... + +10. Generating Graphviz representation of design. + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.texWriting dot description to `submod_02.dot'. +Dumping module outstage to page 1. +) +11. Generating Graphviz representation of design. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))Optimizing module cmos_demo. + +7.3. Executing FUTURE pass. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)Optimizing module counter. + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +7.4. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `submod_03.dot'. +Dumping module selstage to page 1. + (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +End of script. Logfile hash: ae3c266750, CPU: user 0.04s system 0.00s, MEM: 13.83 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 24% 12x opt_clean (0 sec), 20% 9x opt_expr (0 sec), ... +cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex approach_flow.tex --interaction=nonstopmode + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.styOptimizing module counter. + +5.2. Executing OPT_MERGE pass (detect identical cells). +))cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex verilog_flow.tex --interaction=nonstopmode +Writing dot description to `counter_01.dot'. +Dumping module counter to page 1. + +11. Executing TECHMAP pass (map to technology primitives). +Writing dot description to `submod_00.dot'. +Dumping module memdemo to page 1. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex +11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v + +9. Generating Graphviz representation of design. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). +)cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_rtlil.tex --interaction=nonstopmode + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defWriting dot description to `submod_01.dot'. +Dumping module scramble to page 1. -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.01 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif +10. Generating Graphviz representation of design. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -16.1.2. Re-integrating ABC results. -)ABC RESULTS: NAND cells: 4 -ABC RESULTS: NOR cells: 4 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. -))Removed 0 unused cells and 10 unused wires. +5.6. Executing OPT_DFF pass (perform DFF optimizations). +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.texAdding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). +Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). -17. Generating Graphviz representation of design. +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module cmos_demo. -17.1. Executing Verilog-2005 frontend: mycells.v -Parsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. +7.5. Executing OPT_CLEAN pass (remove unused cells and wires). +)Finding unused cells or wires in module \cmos_demo.. +Removed 0 unused cells and 1 unused wires. +) -17.2. Continuing show pass. -cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_rtlil.tex --interaction=nonstopmode -Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. +7.6. Executing CHECK pass (checking for obvious problems). +)Checking module cmos_demo... +Found and reported 0 problems. -18. Executing Verilog backend. +7.7. Executing OPT pass (performing simple optimizations). -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) -18.1. Executing BMUXMAP pass. +7.7.1. Executing OPT_EXPR pass (perform const folding). -18.2. Executing DEMUXMAP pass. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +xFinding unused cells or wires in module \counter.. +Removed 2 unused cells and 5 unused wires. + -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +5.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module cmos_demo. + +7.7.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +7.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \cmos_demo.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +7.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \cmos_demo. +Performed a total of 0 changes. + +7.7.5. Executing OPT_MERGE pass (detect identical cells). + +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.texFinding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +7.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). + +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) +)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.texOptimizing module counter. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +fFinding unused cells or wires in module \cmos_demo.. + +7.7.7. Executing OPT_EXPR pass (perform const folding). + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +)Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). +)))Optimizing module cmos_demo. ) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.texRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +7.7.8. Finished OPT passes. (There is nothing left to do.) + +7.8. Executing WREDUCE pass (reducing word size of cells). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +) +7.9. Executing OPT_CLEAN pass (remove unused cells and wires). +)Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex)Finding unused cells or wires in module \cmos_demo.. + +7.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +7.11. Executing OPT pass (performing simple optimizations). + +7.11.1. Executing OPT_EXPR pass (perform const folding). +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex)Optimizing module cmos_demo. +) +7.11.2. Executing OPT_MERGE pass (detect identical cells). +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +xFinding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +7.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +5.15. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \cmos_demo.. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex +7.11.4. Finished fast OPT passes. + +7.12. Printing statistics. +) +=== cmos_demo === + + Number of wires: 3 + Number of wire bits: 4 + Number of public wires: 3 + Number of public wire bits: 4 + Number of ports: 3 + Number of port bits: 4 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 1 + $add 1 + +7.13. Executing CHECK pass (checking for obvious problems). +Checking module cmos_demo... +Found and reported 0 problems. +) +8. Executing TECHMAP pass (map to technology primitives). + +8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v +Optimizing module counter. + +5.16. Finished OPT passes. (There is nothing left to do.) + +6. Executing MEMORY pass. + +6.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +)Performed a total of 0 transformations. + +6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + +6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). + (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +6.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. + +6.9. Executing OPT_CLEAN pass (remove unused cells and wires). + +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgFinding unused cells or wires in module \counter.. + +6.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +11.2. Continuing TECHMAP pass. + +7. Executing OPT pass (performing simple optimizations). + +7.1. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif @@ -37922,42 +38522,52 @@ ABC: + write_blif /output.blif 10.1.2. Re-integrating ABC results. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -fDumping module `\counter'. - -End of script. Logfile hash: 1e4afcb258, CPU: user 0.04s system 0.00s, MEM: 16.56 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 53% 1x abc (0 sec), 11% 4x read_verilog (0 sec), ... -))ABC RESULTS: NOR cells: 3 +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +ABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 2 ABC RESULTS: internal signals: 14 ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.texRemoved 0 unused cells and 1142 unused wires. +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using extmapper simplemap for cells of type $sdffe. +Optimizing module counter. -11. Generating Graphviz representation of design. +7.2. Executing OPT_MERGE pass (detect identical cells). ) -11.1. Executing Verilog-2005 frontend: ../intro/mycells.v +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -texmake[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' +7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +7.5. Executing OPT_MERGE pass (detect identical cells). +Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +texFinding identical cells in module `\counter'. +Removed a total of 0 cells. -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls)Parsing Verilog input from `../intro/mycells.v' to AST representation. +7.6. Executing OPT_DFF pass (perform DFF optimizations). + +7.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Removed 0 unused cells and 1142 unused wires. + +11. Generating Graphviz representation of design. + +11.1. Executing Verilog-2005 frontend: ../intro/mycells.v + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltxParsing Verilog input from `../intro/mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. @@ -37965,1797 +38575,2440 @@ Successfully finished Verilog frontend. 11.2. Continuing show pass. -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)Writing dot description to `cmos_01.dot'. +Finding unused cells or wires in module \counter.. + +7.8. Executing OPT_EXPR pass (perform const folding). +))Writing dot description to `cmos_01.dot'. Dumping module cmos_demo to page 1. -End of script. Logfile hash: d5ca73b3cb, CPU: user 0.05s system 0.00s, MEM: 16.23 MB peak +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x +End of script. Logfile hash: d5ca73b3cb, CPU: user 0.07s system 0.00s, MEM: 16.08 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 64% 2x abc (0 sec), 12% 7x read_verilog (0 sec), ... +Time spent: 67% 2x abc (0 sec), 11% 7x read_verilog (0 sec), ... -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.stymake[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.texParsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +8.2. Continuing TECHMAP pass. +Optimizing module counter. +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. + +7.9. Finished OPT passes. (There is nothing left to do.) + +8. Executing FSM pass (extract and optimize FSM). entering extended mode -(./basics_abstractions.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(./overview_flow.tex +8.1. Executing FSM_DETECT pass (finding FSMs in design). +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +texParsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +11.2. Continuing TECHMAP pass. + +8.2. Executing FSM_EXTRACT pass (extracting FSM from design). +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.texUsing template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $mux. +) +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.cloRunning "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +) + +8.3. Executing FSM_OPT pass (simple optimizations of FSMs). + +8.4. Executing OPT_CLEAN pass (remove unused cells and wires). +))))Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using extmapper simplemap for cells of type $sdffe. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +Finding unused cells or wires in module \counter.. + +8.5. Executing FSM_OPT pass (simple optimizations of FSMs). + +8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). + +8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). + +8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). + LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +9. Executing OPT pass (performing simple optimizations). + +9.1. Executing OPT_EXPR pass (perform const folding). +)Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.texOptimizing module counter. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +9.2. Executing OPT_MERGE pass (detect identical cells). +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +) Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +9.5. Executing OPT_MERGE pass (detect identical cells). +))Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.6. Executing OPT_DFF pass (perform DFF optimizations). +)) +9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex)Finding unused cells or wires in module \counter.. + +9.8. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styentering extended mode +(./simplified_rtlil.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.styentering extended mode -(./verilog_flow.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. +Optimizing module counter. +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $and. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) +12. Executing OPT pass (performing simple optimizations). + +12.1. Executing OPT_EXPR pass (perform const folding). +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +9.9. Finished OPT passes. (There is nothing left to do.) + +10. Generating Graphviz representation of design. + + +9. Executing SPLITNETS pass (splitting up multi-bit signals). + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.stycd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex simplified_rtlil.tex --interaction=nonstopmode +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)Optimizing module counter. + + +12.2. Executing OPT_MERGE pass (detect identical cells). + +10. Executing ABC pass (technology mapping using ABC). + +10.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.styExtracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. + +10.1.1. Executing ABC. + (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.texFinding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.texRunning muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.5. Executing OPT_MERGE pass (detect identical cells). + +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex))Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.6. Executing OPT_DFF pass (perform DFF optimizations). )) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). +) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex)Finding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex + +12.8. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.texOptimizing module counter. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -)))) +12.9. Rerunning OPT passes. (Maybe there is more to do..) + +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +) Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.12. Executing OPT_MERGE pass (detect identical cells). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.texUsing template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. +) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.styFinding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.13. Executing OPT_DFF pass (perform DFF optimizations). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) + +12. Executing OPT pass (performing simple optimizations). + +12.1. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) +12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.texFinding unused cells or wires in module \counter.. -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) +12.15. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)Optimizing module counter. + + +12.2. Executing OPT_MERGE pass (detect identical cells). +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texFinding identical cells in module `\counter'. +Removed a total of 0 cells. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +)Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +) Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.5. Executing OPT_MERGE pass (detect identical cells). + +(/usr/share/texlive/texmf-dist/tex/latex/base/article.clsFinding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.6. Executing OPT_DFF pass (perform DFF optimizations). +Optimizing module counter. + +12.16. Finished OPT passes. (There is nothing left to do.) + +13. Executing SPLITNETS pass (splitting up multi-bit signals). + +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). + +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.styRemoved 0 unused cells and 2 unused wires. + +14. Generating Graphviz representation of design. +Finding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty + +12.8. Executing OPT_EXPR pass (perform const folding). +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +ric.code.texOptimizing module counter. + +12.9. Rerunning OPT passes. (Maybe there is more to do..) + +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.12. Executing OPT_MERGE pass (detect identical cells). + +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.13. Executing OPT_DFF pass (perform DFF optimizations). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +)))Finding unused cells or wires in module \counter.. +) +12.15. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.texOptimizing module counter. + +12.16. Finished OPT passes. (There is nothing left to do.) + +13. Executing SPLITNETS pass (splitting up multi-bit signals). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.texRemoved 0 unused cells and 2 unused wires. + +14. Generating Graphviz representation of design. +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)) -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo))) +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -) +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) -))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) +)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) +ode.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex)) +s.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex)) +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +ode.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.texentering extended mode -(./approach_flow.tex)) -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -)) -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)))This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. - (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty))) +zlibrarytopaths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex)) +e.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex))) +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex))) +thmetics.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex) +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))) +.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)))))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)) + (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex)))Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif + +10.1.2. Re-integrating ABC results. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +.tex)ABC RESULTS: NOR cells: 3 +ABC RESULTS: NOT cells: 2 +ABC RESULTS: internal signals: 14 +ABC RESULTS: input signals: 2 +ABC RESULTS: output signals: 2 +Removing temp directory. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) +x (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty)Removed 0 unused cells and 1142 unused wires. + +11. Generating Graphviz representation of design. + (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +11.1. Executing Verilog-2005 frontend: ../intro/mycells.v +)This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.texParsing Verilog input from `../intro/mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +11.2. Continuing show pass. +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex)) +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex +x)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))Writing dot description to `counter_02.dot'. +Dumping module counter to page 1. +Writing dot description to `counter_01.dot'. +Dumping module counter to page 1. +Writing dot description to `submod_02.dot'. +Dumping module outstage to page 1. +) +11. Executing TECHMAP pass (map to technology primitives). + +15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex +11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v + +11. Generating Graphviz representation of design. + cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. + final dff cell mappings: + unmapped dff cell: $_DFF_N_ + \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); + unmapped dff cell: $_DFF_NN0_ + unmapped dff cell: $_DFF_NN1_ + unmapped dff cell: $_DFF_NP0_ + unmapped dff cell: $_DFF_NP1_ + unmapped dff cell: $_DFF_PN0_ + unmapped dff cell: $_DFF_PN1_ + unmapped dff cell: $_DFF_PP0_ + unmapped dff cell: $_DFF_PP1_ + unmapped dff cell: $_DFFE_NN_ + unmapped dff cell: $_DFFE_NP_ + unmapped dff cell: $_DFFE_PN_ + unmapped dff cell: $_DFFE_PP_ + unmapped dff cell: $_DFFSR_NNN_ + unmapped dff cell: $_DFFSR_NNP_ + unmapped dff cell: $_DFFSR_NPN_ + unmapped dff cell: $_DFFSR_NPP_ + unmapped dff cell: $_DFFSR_PNN_ + unmapped dff cell: $_DFFSR_PNP_ + unmapped dff cell: $_DFFSR_PPN_ + unmapped dff cell: $_DFFSR_PPP_ + +15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). +)Mapping DFF cells in module `\counter': + mapped 2 $_DFF_P_ cells to \DFF cells. + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +16. Executing ABC pass (technology mapping using ABC). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. +Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. + +16.1.1. Executing ABC. + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.styWriting dot description to `cmos_01.dot'. +Dumping module cmos_demo to page 1. +Writing dot description to `submod_03.dot'. +Dumping module selstage to page 1. ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +End of script. Logfile hash: d5ca73b3cb, CPU: user 0.07s system 0.01s, MEM: 16.11 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 62% 2x abc (0 sec), 13% 7x read_verilog (0 sec), ... + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.styThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -)))) +End of script. Logfile hash: ae3c266750, CPU: user 0.04s system 0.01s, MEM: 13.90 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 24% 12x opt_clean (0 sec), 20% 9x opt_expr (0 sec), ... +))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)))) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex)) -))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -entering extended mode -(./overview_rtlil.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))) +tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) +tructureext.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex))) +.code.texParsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +11.2. Continuing TECHMAP pass. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.texRunning "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)))) +x.code.texUsing template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using extmapper simplemap for cells of type $sdffe. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex +d.code.texUsing template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty +code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex)entering extended mode -(./overview_flow.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) +ode.texentering extended mode +(./overview_rtlil.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)entering extended mode +(./verilog_flow.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) +x)) LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.texUsing template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + + +12. Executing OPT pass (performing simple optimizations). + +12.1. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex)) +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)) +.tex)Optimizing module counter. + (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f +fshading.code.tex Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +12.2. Executing OPT_MERGE pass (detect identical cells). +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex) +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +)Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.6. Executing OPT_DFF pass (perform DFF optimizations). +) +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). + (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex) +tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styFinding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x))) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +12.8. Executing OPT_EXPR pass (perform const folding). + +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))Optimizing module counter. + +12.9. Rerunning OPT passes. (Maybe there is more to do..) +) +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.13. Executing OPT_DFF pass (perform DFF optimizations). + +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) + +12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +)))Finding unused cells or wires in module \counter.. + +12.15. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.texOptimizing module counter. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex +12.16. Finished OPT passes. (There is nothing left to do.) + +13. Executing SPLITNETS pass (splitting up multi-bit signals). -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)Removed 0 unused cells and 2 unused wires. + +14. Generating Graphviz representation of design. + +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty))) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)))) - +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)) -)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) ) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +ex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty)))))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x))entering extended mode +(./approach_flow.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) - (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.texentering extended mode -(./simplified_rtlil.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex - +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex + (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))) -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex)))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)))) +ex))) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - +gfsupp_loader.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex)))))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) +gfsupp_pgfutil-common-lists.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +tructureext.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)))) +d.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex) +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex)) +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex +.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +decorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex +zlibrarydecorations.pathreplacing.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x +decorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex) +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +16.1.2. Re-integrating ABC results. -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)ABC RESULTS: NAND cells: 4 +ABC RESULTS: NOR cells: 4 +ABC RESULTS: NOT cells: 3 +ABC RESULTS: internal signals: 5 +ABC RESULTS: input signals: 4 +ABC RESULTS: output signals: 2 +Removing temp directory. + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.styRemoved 0 unused cells and 10 unused wires. + +17. Generating Graphviz representation of design. + +17.1. Executing Verilog-2005 frontend: mycells.v + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defParsing Verilog input from `mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +17.2. Continuing show pass. + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex)) For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) -)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -Package pgfplots: loading complementary utilities for your pgf version... +ex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex) +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) + (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))))))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex)) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex) +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex +gfsupp_loader.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)) +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +Writing dot description to `counter_03.dot'. +Dumping module counter to page 1. ) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +18. Executing Verilog backend. +) +18.1. Executing BMUXMAP pass. +) +18.2. Executing DEMUXMAP pass. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.texDumping module `\counter'. + +End of script. Logfile hash: 1e4afcb258, CPU: user 0.06s system 0.01s, MEM: 16.59 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 55% 1x abc (0 sec), 11% 4x read_verilog (0 sec), ... +) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex)Writing dot description to `counter_02.dot'. +Dumping module counter to page 1. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex +15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). )) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. + final dff cell mappings: + unmapped dff cell: $_DFF_N_ + \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); + unmapped dff cell: $_DFF_NN0_ + unmapped dff cell: $_DFF_NN1_ + unmapped dff cell: $_DFF_NP0_ + unmapped dff cell: $_DFF_NP1_ + unmapped dff cell: $_DFF_PN0_ + unmapped dff cell: $_DFF_PN1_ + unmapped dff cell: $_DFF_PP0_ + unmapped dff cell: $_DFF_PP1_ + unmapped dff cell: $_DFFE_NN_ + unmapped dff cell: $_DFFE_NP_ + unmapped dff cell: $_DFFE_PN_ + unmapped dff cell: $_DFFE_PP_ + unmapped dff cell: $_DFFSR_NNN_ + unmapped dff cell: $_DFFSR_NNP_ + unmapped dff cell: $_DFFSR_NPN_ + unmapped dff cell: $_DFFSR_NPP_ + unmapped dff cell: $_DFFSR_PNN_ + unmapped dff cell: $_DFFSR_PNP_ + unmapped dff cell: $_DFFSR_PPN_ + unmapped dff cell: $_DFFSR_PPP_ + +15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex)) +zlibrarytopaths.code.texMapping DFF cells in module `\counter': + mapped 2 $_DFF_P_ cells to \DFF cells. + +16. Executing ABC pass (technology mapping using ABC). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex) +16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. + (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +gfsupp_pgfutil-common-lists.texExtracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) +16.1.1. Executing ABC. +))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex +zlibrarydecorations.pathmorphing.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex +tructure.code.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex)) +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))))))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +x.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x)) - +d.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex +fshading.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex) +tex +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex - +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -)) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex))) +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex +de.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) +x))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex) +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex) +.tex))) + +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex)) -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex)))) +code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex))))) +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex)))) +x))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -)) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) +ex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex))) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -))) +gfsupp_pgfutil-common-lists.tex)))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex) +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex)))) +x.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)) +d.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex)) )) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x +x)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) +de.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex))) +fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)) +shading.pgfsys-pdftex.def)))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex +zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex) +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif + +16.1.2. Re-integrating ABC results. +)ABC RESULTS: NAND cells: 4 +ABC RESULTS: NOR cells: 4 +ABC RESULTS: NOT cells: 3 +ABC RESULTS: internal signals: 5 +ABC RESULTS: input signals: 4 +ABC RESULTS: output signals: 2 +Removing temp directory. + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex))Removed 0 unused cells and 10 unused wires. + +17. Generating Graphviz representation of design. + +17.1. Executing Verilog-2005 frontend: mycells.v + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex +zlibraryplotmarks.code.texParsing Verilog input from `mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +17.2. Continuing show pass. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex +zlibrarydecorations.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty))) +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty +.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)))) +decorations.pathmorphing.code.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)))) +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex +zlibrarydecorations.pathreplacing.code.tex)Writing dot description to `counter_03.dot'. +Dumping module counter to page 1. + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +18. Executing Verilog backend. +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex)))))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +18.1. Executing BMUXMAP pass. +) +18.2. Executing DEMUXMAP pass. +)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.texDumping module `\counter'. + +End of script. Logfile hash: 1e4afcb258, CPU: user 0.06s system 0.01s, MEM: 16.64 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 53% 1x abc (0 sec), 11% 13x opt_expr (0 sec), ... +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex +.code.tex +No file basics_parsetree.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.styWriting dot description to `counter_02.dot'. +Dumping module counter to page 1. +)) +15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). + cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. + final dff cell mappings: + unmapped dff cell: $_DFF_N_ + \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); + unmapped dff cell: $_DFF_NN0_ + unmapped dff cell: $_DFF_NN1_ + unmapped dff cell: $_DFF_NP0_ + unmapped dff cell: $_DFF_NP1_ + unmapped dff cell: $_DFF_PN0_ + unmapped dff cell: $_DFF_PN1_ + unmapped dff cell: $_DFF_PP0_ + unmapped dff cell: $_DFF_PP1_ + unmapped dff cell: $_DFFE_NN_ + unmapped dff cell: $_DFFE_NP_ + unmapped dff cell: $_DFFE_PN_ + unmapped dff cell: $_DFFE_PP_ + unmapped dff cell: $_DFFSR_NNN_ + unmapped dff cell: $_DFFSR_NNP_ + unmapped dff cell: $_DFFSR_NPN_ + unmapped dff cell: $_DFFSR_NPP_ + unmapped dff cell: $_DFFSR_PNN_ + unmapped dff cell: $_DFFSR_PNP_ + unmapped dff cell: $_DFFSR_PPN_ + unmapped dff cell: $_DFFSR_PPP_ + +15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). +Mapping DFF cells in module `\counter': + mapped 2 $_DFF_P_ cells to \DFF cells. + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex + +16. Executing ABC pass (technology mapping using ABC). + +16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. + +[Loading MPS to PDF converter (version 2006.09.02).] +)Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. + +16.1.1. Executing ABC. +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex)) +ex) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex +gfsupp_loader.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +gfsupp_pgfutil-common-lists.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex)) +tructureext.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) +x.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex)) -No file basics_ast.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -[Loading MPS to PDF converter (version 2006.09.02).] -)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex +de.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty)))) +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) +.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex)) +fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex) +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex - +tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex))))))))) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex) +gfsupp_pgfutil-common-lists.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)) +tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex)) +tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex +x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex))) +d.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)) +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex)) +ex +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +No file basics_abstractions.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +[Loading MPS to PDF converter (version 2006.09.02).] +))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex))) +de.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +No file levels_of_abstraction.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) +.tex +[Loading MPS to PDF converter (version 2006.09.02).] +))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +tex) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))) +shading.pgfsys-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) + +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex + Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -39763,155 +41016,126 @@ on input line 12. -[1) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex +[1 Non-PDF special ignored! - papersize=265.11548pt,137.71072pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) + papersize=466.24498pt,209.70209pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) +tructureext.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) -No file basics_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex))) -No file levels_of_abstraction.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)) -[Loading MPS to PDF converter (version 2006.09.02).] -) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))))) +ntourlua.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif + +16.1.2. Re-integrating ABC results. +ABC RESULTS: NAND cells: 4 +ABC RESULTS: NOR cells: 4 +ABC RESULTS: NOT cells: 3 +ABC RESULTS: internal signals: 5 +ABC RESULTS: input signals: 4 +ABC RESULTS: output signals: 2 +Removing temp directory. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.texRemoved 0 unused cells and 10 unused wires. + +17. Generating Graphviz representation of design. + +17.1. Executing Verilog-2005 frontend: mycells.v +))Parsing Verilog input from `mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +17.2. Continuing show pass. + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex)) -No file basics_abstractions.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii)) -[Loading MPS to PDF converter (version 2006.09.02).] -))) +e.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary @@ -39919,18 +41143,33 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty)) +decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def -No file basics_parsetree.aux. +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)Writing dot description to `counter_03.dot'. +Dumping module counter to page 1. + +18. Executing Verilog backend. + +18.1. Executing BMUXMAP pass. + +18.2. Executing DEMUXMAP pass. + +No file basics_ast.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) -[Loading MPS to PDF converter (version 2006.09.02).] +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +exDumping module `\counter'. + +End of script. Logfile hash: 1e4afcb258, CPU: user 0.05s system 0.02s, MEM: 16.77 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 57% 1x abc (0 sec), 11% 13x opt_expr (0 sec), ... ) -No file verilog_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +[Loading MPS to PDF converter (version 2006.09.02).] +)make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' + (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -39938,29 +41177,23 @@ on input line 11. -[1 (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +[1 Non-PDF special ignored! - papersize=454.72775pt,87.0827pt + papersize=384.5717pt,194.61621pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -[Loading MPS to PDF converter (version 2006.09.02).] -) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) +de.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarycalc.code.tex)}] (./basics_ast.aux) ) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file approach_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -Output written on basics_ast.pdf (1 page, 7708 bytes). -Transcript written on basics_ast.log. - -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -39971,41 +41204,89 @@ [1 Non-PDF special ignored! papersize=427.40239pt,233.55751pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))pdf2svg primer/basics_ast.pdf primer/basics_ast.svg -) +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +No file simplified_rtlil.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. - on input line 11. + on input line 12. -[1 -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex +[1)) Non-PDF special ignored! - papersize=384.5717pt,194.61621pt + papersize=265.11548pt,137.71072pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) +No file basics_flow.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex + (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +No file overview_flow.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +[Loading MPS to PDF converter (version 2006.09.02).] +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. - on input line 12. + on input line 11. [1 Non-PDF special ignored! - papersize=466.24498pt,209.70209pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map + papersize=332.32571pt,94.39902pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./basics_parsetree.aux) ) + +Output written on basics_parsetree.pdf (1 page, 10896 bytes). +Transcript written on basics_parsetree.log. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex)))pdf2svg primer/basics_parsetree.pdf primer/basics_parsetree.svg + (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) No file overview_rtlil.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -40015,64 +41296,48 @@ [1 Non-PDF special ignored! - papersize=312.83241pt,276.60544pt + papersize=470.39511pt,294.14694pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) +de.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex +zlibrarycalc.code.tex)}] (./basics_abstractions.aux +) ) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. - on input line 12. + on input line 11. [1 Non-PDF special ignored! - papersize=398.7384pt,152.54237pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map)) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def})] (./basics_flow.aux) )) -No file overview_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex -[Loading MPS to PDF converter (version 2006.09.02).] -)))) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -Output written on basics_flow.pdf (1 page, 14279 bytes). -Transcript written on basics_flow.log. - -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)pdf2svg primer/basics_flow.pdf primer/basics_flow.svg + papersize=454.72775pt,87.0827pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +Output written on basics_abstractions.pdf (1 page, 16368 bytes). +Transcript written on basics_abstractions.log. -No file simplified_rtlil.aux. +No file approach_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 11. - +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.stypdf2svg primer/basics_abstractions.pdf primer/basics_abstractions.svg -[1) -Non-PDF special ignored! - papersize=477.05351pt,211.8978pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))}] (./levels_of_abstraction.aux) ) +c/amsfonts/cm/cmr12.pfb>}] (./basics_ast.aux) ) Output written on levels_of_abstraction.pdf (1 page, 16544 bytes). Transcript written on levels_of_abstraction.log. +Output written on basics_ast.pdf (1 page, 7708 bytes). +Transcript written on basics_ast.log. +pdf2svg primer/levels_of_abstraction.pdf primer/levels_of_abstraction.svg +pdf2svg primer/basics_ast.pdf primer/basics_ast.svg + Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -40082,11 +41347,14 @@ [1 Non-PDF special ignored! - papersize=332.32571pt,94.39902pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./basics_abstractions.aux -)pdf2svg primer/levels_of_abstraction.pdf primer/levels_of_abstraction.svg - )}] (./basics_parsetree.aux) ) - + papersize=477.05351pt,211.8978pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./simplified_rtlil.aux) ) + +Output written on simplified_rtlil.pdf (1 page, 15590 bytes). +Transcript written on simplified_rtlil.log. +pdf2svg internals/simplified_rtlil.pdf internals/simplified_rtlil.svg + Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -40096,76 +41364,92 @@ [1 Non-PDF special ignored! - papersize=470.39511pt,294.14694pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -Output written on basics_abstractions.pdf (1 page, 16368 bytes). -Transcript written on basics_abstractions.log. - -Output written on basics_parsetree.pdf (1 page, 10896 bytes). -Transcript written on basics_parsetree.log. -pdf2svg primer/basics_abstractions.pdf primer/basics_abstractions.svg -pdf2svg primer/basics_parsetree.pdf primer/basics_parsetree.svg -}] (./verilog_flow.aux) )}] (./approach_flow.aux) ) papersize=398.7384pt,152.54237pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)}] (./overview_flow.aux) ) -Output written on verilog_flow.pdf (1 page, 9528 bytes). -Transcript written on verilog_flow.log. - -Output written on approach_flow.pdf (1 page, 15288 bytes). -Transcript written on approach_flow.log. -pdf2svg internals/verilog_flow.pdf internals/verilog_flow.svg -pdf2svg internals/approach_flow.pdf internals/approach_flow.svg +No file verilog_flow.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) +Output written on overview_flow.pdf (1 page, 15179 bytes). +Transcript written on overview_flow.log. +}] (./basics_flow.aux) ) +Output written on basics_flow.pdf (1 page, 14279 bytes). +Transcript written on basics_flow.log. +pdf2svg primer/basics_flow.pdf primer/basics_flow.svg }] (./overview_rtlil.aux) ) Output written on overview_rtlil.pdf (1 page, 17629 bytes). Transcript written on overview_rtlil.log. pdf2svg internals/overview_rtlil.pdf internals/overview_rtlil.svg -}] (./simplified_rtlil.aux) ) -}] (./overview_flow.aux) ) -Output written on simplified_rtlil.pdf (1 page, 15590 bytes). -Transcript written on simplified_rtlil.log. -pdf2svg internals/simplified_rtlil.pdf internals/simplified_rtlil.svg +Output written on approach_flow.pdf (1 page, 15288 bytes). +Transcript written on approach_flow.log. -Output written on overview_flow.pdf (1 page, 15179 bytes). -Transcript written on overview_flow.log. -pdf2svg internals/overview_flow.pdf internals/overview_flow.svg + +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 12. + + +[1 +Non-PDF special ignored! + papersize=312.83241pt,276.60544pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.mappdf2svg internals/approach_flow.pdf internals/approach_flow.svg +}] (./verilog_flow.aux) ) +Output written on verilog_flow.pdf (1 page, 9528 bytes). +Transcript written on verilog_flow.log. +pdf2svg internals/verilog_flow.pdf internals/verilog_flow.svg make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/_images' make -C source/_images convert make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/_images' -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_01.pdf code_examples/intro/counter_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_02.pdf code_examples/intro/counter_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_00.pdf code_examples/intro/counter_00.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_03.pdf code_examples/intro/counter_03.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/extensions/test1.pdf code_examples/extensions/test1.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mymul.pdf code_examples/techmap/mymul.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/addshift.pdf code_examples/techmap/addshift.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/cmos_01.pdf code_examples/show/cmos_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/splice.pdf code_examples/show/splice.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_second.pdf code_examples/show/example_second.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_third.pdf code_examples/show/example_third.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_first.pdf code_examples/show/example_first.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_third.pdf code_examples/show/example_third.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/cmos_00.pdf code_examples/show/cmos_00.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mymul.pdf code_examples/techmap/mymul.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/addshift.pdf code_examples/techmap/addshift.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/extensions/test1.pdf code_examples/extensions/test1.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_muxtree_full.pdf code_examples/opt/opt_muxtree_full.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_share.pdf code_examples/opt/opt_share.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_share_full.pdf code_examples/opt/opt_share_full.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_share.pdf code_examples/opt/opt_share.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_04.pdf code_examples/selections/memdemo_04.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_01.pdf code_examples/selections/sumprod_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_00.pdf code_examples/selections/sumprod_00.dot %PDF-1.7 %µí®û 4 0 obj @@ -40173,20 +41457,12 @@ /Filter /FlateDecode >> stream -xœ­V;o1 Þõ+4t°+$E½Æ( -èÐÚKdpã&A M‡¢ýõ%¥sîÜÔ¹ Îb“2Eñõ‘D ò·BùÀíõ£ùaÀùB’2{d! -F²_>Ú³-ØÛŸí/öBþïÍå•H€Ýûɶ18–ûJÑÂÞ>Ø”f¶ B‡à2ÆFËdzŒÐÝݳJ±¿\é;3|býš½O·ö|csçì3ÉÑÅ" -ŠÝ<𳛬$vscÛåæÞ|ØŒ=XÑ…,Gûô}–E賘ŠÃÿ›ô~²IQƒ£B<̵Éf:fÑù4‹ä‡E¢s¦EÉkâü›.ï–«´ÀåÕæÂ¬ÀEHèI8!XDv{‘í2-v»*7êAi.(1ÛÂd«¼¯“‚ꃊ°¬q…è@0$ ":’œ…è¼"—CQ8q,özÔìzå>ºƒê–r -AF‡‰äW£ÅÂ7c•0Kûº6½‚’…Sb‰çƒNˬոRóa‡œæãCØ%1;òòëУÓC¯yQ©Ù^(øÐ‡¢Ï£È¢2%ºœZˆ€|-jÒo®cf -üH‚c-K{„`í8Š ‡Øaä…ü(g¿Ð`(Þ#Q?êÒá¬/©Ÿõ•f)Ó®›^ ýà~cîš7ðÅÁ|,ïßÞ’wÄ8$çsªœÔ¥K’ê¢í—[æåXF§ `<ïãm“!jd -P—²TjÛ”Ê -Ñæàõmó@ø|`± -Dß,ÖI¡Å‰I‚ß -ß¾5@SŠUœ,Õ—xo—œv¥”4â’:K+¥åÇ‹uî ­X=›¸«!edÉjuè%ou3ÕhRŽm5­LÂ^L™¦À ärh¨ÌÝáS³úTÑn{|Mø=­`ÅôeàºÎºCßyàTljý¤pæYÍ@4ÀPMåZú'ç…€_kÕ&C–|h;1q݉)£zDjËr¼à)¢.žuI|êr­g’¤–üÀXi¿E׺:Ðö õ@©Î…Jv>à¤V£zu@í_nËJ=•P÷oïe¦8ò}kóÙü Àä_ +xœ­VMoÛ0 ½ëWð°CrˆJRßÇØa[.CÑCѦ-Šf@»Ã°ýúQ²d'èÒ°Q¤á‹)ê‘â“I€ò·!ùG‰áv¯^j“˜\Ô.ZCVŒÄÉ÷ÏpqƒððKüV—òyRW×âp§á $ „F' °Ï &ÖÀ3„¨)Úüå9§#ù†,Ž~b×jô#²Å±iðQm÷ý=ò¯Ðm•,DD¶¶f>@Ï‘5%‰`»W÷ÜØÞ«ÕÍzû¤>mÏ”‡ J)y°^w³8‘É呸ÿsú8™“7hÙ§˜Ïsyå“8Áª›ÆJD?°*E›ÉÊ'pé««Õ‡õ&¬h}½½TÔ]À$Ë5²âr×\vk³z)ngs`Ä–C6È1‚§zðǤʊ$R-,9-¹DsÒàF'%ˆapVcáö,ë3§II[ôàHW¶–¦ëALÚ³œMÐ’VQí÷N°¦6˜J›¢Ñ>‹^(³åÜy¢œÙjïc¥Ü@™Ù@¤â2P>tïT^@è–U{Îr¶Ü‰ã ¬Þž/x²f¾â©ôD%†nÍÛ÷EÏçEÿs7]ñ-‡b/CÖ<^Lô"r~”OÑ“ï; `2:Æ0_@Y$”÷Ç¡)ˆÈ÷ªz! +ÚØª¡7+Žt/·•xŒ×•ŒÄA4ï wËòm$šñ‹p÷(Û9“÷zƒ·ë)ã|ó4îÇ+:eòƒuøÈÀù:ĘHmÀR…ê­¬¡œ­?*DÃ}%ƭ畽'À¿“*ÁÒuÊbiûb²\™ìª-·F Ìm_v óVR´:ЕßB)Íð¸S™V{d^½]‰UP™õh jÄ®rk/Ÿò«á]ïÑ©¯ê&ö}þ endstream endobj 5 0 obj - 809 + 727 endobj 3 0 obj << @@ -40215,38 +41491,50 @@ 11 0 obj << /Length 12 0 R /Filter /FlateDecode - /Length1 5532 + /Length1 5752 >> stream -xœíW{tUš¿·¾{»ºª»««ú‘IèN§ÉûA‡ !”‡ ²á Ø„¤CL!ÈÈ0ò „‡(™™ :øØÈŽÅÕ¨ ¾wf„QGÝŽzNÌqfYFRÙ¯:83;sœ³ó×ì[·«ë~ß}}ßýÝ*B !2i'@|w4¬lzßþ`;*.",½£yƒoÉ£W q”BÕ4­iø¤ý÷1B”_à¨=kÖn®I;Z†õÇp̸ÚÕ+#y¿¹y!Îå¨_‹ -—Ïö ʇPάmØÐRc“PîE¹xmã+ )ǵœŸ¢<®aeK‹òBÔT”}Mw­nÚ¸Ùò%ʸ>¿¤Æèd5¼­IŠng¯Ë«ÔÊ«FŠbúÇõBÿ…þb·æ×‚~Í_Ãȵõ0êÚÇF§¨|õ‡»,9æÿe{XzM¶êE£ü¶d¢ÚikòAë~·_`oúþ1îj{«"$sâ/Èpä§8I–§d$俍Wc¸B(tEÐ\áðXR4pÅøòwêÀ—Z8¬…]áb=SÍmÌmÉîËe­Ù}´O胋ñ˜%æ%Äû’ú’ûRU´Š–2,^OBIh¼[+ñúKÈS:n|‰¿tÜl¥å´$”EŸïúÁÎ ë÷övÝSºêŸüÅÀ{T8¸ãÎ{ÔÕO-þð:ïrskã=ûèóC¿Š®Ÿ=ãù®Ÿ>=kóŽÈªwrrÞÇ`aóÈßç1.ò¸~+‰p "T°X¸KÔˆ…;ã*•¢U¤ªUTð¶Ù‡jµŠs‹Òˆq²k´¬’ASŠÃfq%·nõ¿i‰f@Ê®ô'†.ôc æ,¬UþybH䟛?Õú¹)‹qÕuaIÆél¥Uz‚“8©Sq:Y6϶d;²•|-Ïe©"UÔ/ÑÒŠCT~(ñÒcB¦104s»àyDðº|²m¨£­[HÎ}“åõƒ?ŒFéL£7júdúAß­D#™dºž•.6J^µ1q•›4J[]ª,Ò¤ôLNÊ(¦ø\™Ñ<þ zµ?t%db -]‹g»¿?„:u \ô‡Æ›YB“â•<ŠFjR(Á뱈éÔëa~:Hó~~ò§OîÇ» cÓ /—?úøÄÑΟïDŸúÙÑ­;wµ vF9©¶wÇC®¤WºÿãWq»Ÿ0Þf ±Kjô°ÅáÃü΂|§â&«óý¾ ¸zTZJQ~u(Cö¦¸A”ˆ*+`ñYsòƒ©@d‹’(„LÜÆ0-WúÕïàfA×Êbý¡ 1u ôësj_ŸæJDÇÊ)º“ -zHµ’tš¨¢nü­D3…@!ÍÒL-¢À&áôºMÍwEÖÜU×d¬ZËŽtþî¹b‘Õg–4Øw7yöÓ·#ÕoUÈw®XÜ2Zx@¬«œõͤž]@?ÖÞÝõ²Ú«Ö±9Æà†HFÙ8ùšÓøUdBÉ ã*ÿ÷l‹žÊL˜âu.Xå–:+]O$QcD°©b±k13O¡«1 ·cƒ ‘ÆitN¼¨ò_‰è8©Ø†û&j&.Ñ%ñÑ"©HfUØÇï3Ü7Ò‡èñ>þR¿ÆKƒ%šßK”oÓµ»)‹²ß¼²½°5޳nù-æËCüä}LS½@’9FµV÷:Ò*V;:W¾W…,šOJSSäÜ ÙÕ+×ÊÊ®˜f_é¿ffhÀÄ[±.‘€Øè 0Zü– „Òq.ä„Dk0Â#ÂJzŒ#ãÞûú«³[ÿ­é=رïÀ}£Ñ“‘tÂà ¿""äD¿y³îÅ7.}øÁG¯›ñþ ÷ÇRÜZ¤OwØ[DÕT9"É>T› D« ©ŒÁ\™:m& 8,«\´‘Ø5›[‘5AòŠQÜ™šÆI¦àU/\‰]»CŽt…µ‘_x$-eÈÚŸsCœFÈ 1„tàL@:8M¨™ ÉÌ…7ž//ñ^ÏWBOï5¦¾’…Oê뇺…åøŸ?t!Šï!„ÍC\§’¹ºßî!NÙ DRVó:Ç&Íã°'™&Z¬Š¬R—- ÷°IEªyÐÄOž/ŒaŽH±ÍgØfØgxfx9. ™çóz¨E¤¦þRßÖjiª¯ö3ªo%Ù{…Æ×¦Ð³íîÞœ­£r¸ì£—ß7þ=Ûzîëüf÷áSûL|OF|÷^Ç÷MzÐÏ5Äwú!kÒ!…¶â[©¶v¸F¥ØJÝB¾WcY¤Ø„7—ÈšïÓÛ˜é &Žã溼Ï¿,Ä9ú:¸ûŸ¤u&¬¿ú*†°6Óºƒ{Ø‹°æzt¨!²ÂxcpÐx}Eäd”nì{ý£>¼ôÆ‹&®0Û†V¤RЗО/£R…rÂéTl² PœŠõ„hEÑZ.Y©€t"ˆå‚@çZÓg -¼)û]ÉB«w=˜&xY²Šœ«ÓžXàµC’áÄ8ÄÕþk&ÂÕ_Ÿ3ùGs}'ÔEUùã ZÍ3PTÌ3pi¢º„ÖÑÊD§WÍá9–\9×–¥d9Ǩ•t¡pŸÏo³Ì·,Ë‹mµ4"TójKDl&›h‹°¶² |ƒe³dâs³²Ñ¹QmÖšSšG5§f#Vù„ÿ‰ÔøÛFV)ò;Æš>7ÃX¿™~vŠæÑ¼tÿ ÔÚXtGèvK¸l¯< o!nƒÂûõõß,º{™ê|³bò“› 7÷cŒ$ªgHåC¡Ü*YçÚ$&´ZŽK­Dd(àrhçD1ƒÁ° aÈ©±o#4ò>ð§Ý[‰olËÈÆþyP# U%¥=0¹Ø÷áØÐï£P3T#L«_ 5½4°øÚÑ—Œ‹$~z1ßrí„ óð™NTÔ(d¦ éJ öVz¿pNøÀ7ÆWì›ä{ÌŸ1 Çèqú–®ëå–×èkÖ}ç ßTþÖ% Ï%þ•…þ[þ×ãe<ÿÿú‹‹Êd=f¢—¼‰åm¬ÝL¢ø¦y/éÂúÇdK\ÿ³š5ïq³ïÛ¤—æ`?Á,´ˆ˜¹|ç)Á¶± Ê]dU¼}ÎÇË18/l"ÌÇÚüøˆ.Ò “ñ0>?rÇG½I¦çÈ)³ÎÏ“Nì·€¼ƒeÎ>›½4*ã™ŲŠ_Œ—/ÈòøÊ¦.Ê/"Ów‘(úù<ÎÞ=¢§9tTC ­Dz -f¢v‰²j,YñR÷oÄAØ‚ë_÷—œ– 9,‹žÂuÌ5Îãü§È´·-‰·`ÚŸ‘.°£…Éü%2[œm±ã1ÖFaë(¡Ç,i¤š´Á"œaêö’yô\¥§-œ~‘äûÔ!8+Ò£ßZé{m‰¿ ÿ/DŸ*úzÈüÇf_ïððüJ6Š/éá©=´ö°`àòw5^.ÈŸ3¿Ò×óúôŠë³N¯®@ÝÂJ¬šªQ?½¢€ÄYG¸½÷—µÏ„V8Ëþ‹ŒÙÔ—þöùõ»C“Ôw­—P41BG€…¶ÁH#DKûúÝkMê»×õºì #ÆÎ’þêðU~™¬ã‰ä ¼ùhÔÍþ ë{°>™ídLŽŽ_89~K ñþyû+$¡.¤›J$ü¦ÛÑŒbB¤Cæ÷ük -É#GâÖ©ä¨É$ÌFÿeÖ+´ë—^5àœÎÆ2ùÙœÕY,^qÀË/eñ—#ðRôUÁ‹mð¼ ÎØà¹g=ü¹<ëÞ öél/ÖöN‚Ž=gx‡{vWñ=g`O;Û½+ÈwWÁníB»vaç½ß™;{‡ûôav¯;péø~1lO€{:á{6hDx»ÛÖª|[2lmSøÖ´)p÷'¿Û [œÐÚ ›5h‘aS³o„橼ÙSaÚë ¸Ë€uM¾Î€&4鬱 îl(çwÖCC9¬­·óµ*¬mgõv¨×Y—ŒB]í^g@íš*^{jÛÙšš _SktV„ÕØiõ D"p‡V°Ò€ê…¼Ú€…p»U,ŸËÚ`©K* Ò€2`ñ¸Í€…Xà[C0ÿ'Ÿß·8aÞ ú4¸Ù³#0+ÃÊguÂÌÜ*¿É 3\0]ùôd¨¸ÑÍ+êáÆi*¿Ñ Ón°ñi*Ü Küèèf²©PÎ -xù\˜RæáSæ@Ùd™—y Lg“e˜vñIUž¨ñ° &j0Áã ü|òðRÆ•¸ù8”„d^â†ÐX‰‡däg¬ÅEI¼¸Š -½¼( ŠúXaºÌ ½PØÎ -¤/è„ü<ÏŸyèDžòt–‹¦çF '»˜çÜÙhXv1dá#Ë€1“ èHâÁ*È ¸xæBà°€ :˰‚ß—ÄýUà­q_øúØh\l´£ÛYº é:K @ªFeBJr1OYÉ8kr1$ˆ‹& ‚×ãáÞzð¸ÝÜãÎÜnpa?×Ð0¼š*>ÔiàDû `›b€'p$Cgvl(Øô‰õ c¹ ¤XE[= j`á!niŽãx?U¬NНzt!h/ìØKóþÏ^ämÀß¼ýÿä, +xœíX{xU–¿·NݪêêWU?Òy§›¤ÉûA7‚ + ÈC$˜1° ¡&„ dtPÈcÂSÉh|EGݨAtÆAvGœýÄ ã°_ȧ³ŒŸÆ¤²§ºqvÖùœïÛ¿vÿØ{»ºî¹ÏsÎýÝ߹݄BdÒB€xï]µ¤þ²é±6BÌ/ÂÝuoÃZo[ð™„ØBè¾êúå«>où2Bˆ½GíX¾rCõ_^(]ŠågqŒT³lI(÷w·O!D)º15Xáðš»Q®A9£fÕÚÆÉ[ùPnEyôʺ{—2ýS”{P·jIc=_Ë‚(²·þþeõë6_¢ÆÂî!©ÖÛùjÖ‰ÚŠ$Q³ðïá*±JŽ'…‘ }£ˆr¡ïB_‘Sõ©~Ÿê«æÉàH¼ª·‹¶¯ÿ|¿mÌ1ü~_†Vg‘MZa’Ïœ@ mJØ+µ9}»Òw¦¶luVYšl\#¾üÖ¼D;É4³Äq9ÙÊ®ô*ýª£¤d)ìïÕû¿ú£ÒÿU¿ZR¢–8JŠ´ %§.§1«'‡oÊê¡=\Dø‹w$.âé‰ïIèI´VÒJZœ>Bp»â‚1N5èöÛhúˆ‘Å£Ç}Å£Gb›˜^<‘qPx}ÛÃ[×®ÙÙÝ©wNè¨}ñ_ú?¦ÜÞ-÷=¨,®z¥â÷ŸÓ9WšêÜEO ý6¼fæ´S?uƆ-¡¥—²³/£3 7ŒûÈ.³‹èyN»ƒ„˜À¸å9TN Ì­R'J"U$цÙÂYIgs‚­ý$_',•AUì6«Yq%V§ œÊ…ߨÃ!¥½}žÀ…>tĬò¢Â®{"»n|éº!‹Ñª›ÂÂ/e9(­ÔâìÄNí6»ÏbYB–5Ë–§æ:„JRI}&Z¤˜ÑEAðAÐMqzÿÐô‡8×Óœ{ïЕcÍC­Í\ +wöÛ”0«øi8L§ëÝaÃv «ÑöÚ.•d©ZfªXgr+už¥NRgÚäPd‘Ƨf0bµ%ñ6_œ#ÃDT—ϯÜè ô L¡iÑÝîë `Ò_Rä÷Æ»„*E ¹•TÓ‹}8·KS©ÛÅûèÍ}ùØÏ_Ñ/êû÷wêúú7Þà®|võèÁ7ÎèÒ/…ÿÅáð¦­ÛšÚÌԾֽåqGüÛÿöÛ¨ÞÏë𫈕Œ"ÕZ‰`uä}ö‚ü<»ÍI–åù¼~qYRJba^U`„ì-Ht‚h"ŠlÁ+eçù“È‚Íà ÜFp[zû”~ݯôãaAÓJ#} ¥?ðáY¥§Guxа‰ÍÉZç¡j0•zÔ¬3V ª†^@3UÃ@ATÓ±‰{iõú†ûCËï_Q¯/]Éhÿã‰O"¡e'®²loøêÌŸ>U½_&ß·¸¢1;,®X07ìšN]ÛZ^ÕžÚÞñ–…ZôÒ¨l}`íÃ&½ôÖçv{×®ÿ™Ú8dBÉ:ãûÏl£–Ì0ÄëlxÊ„]CL¢Êά\ˆD#Æ>nDT<ŽQ òˆ4‘FguÉó¼NÌDÃIÍÄ<Ü3N;n¡fò/-4Ê|%ö1Gû ÷Äú-ÚÇWìSY±?¨úÜ4òútåvʇùß½ýPß@Sg1n©@nq‘$2GKå$w‘²6K|9ìhµT%K&w"I¢‰öœd\­ß1Ø×§èÈ){8¼)ZÊæ8OÎÓóÜy÷ù$fp…/FcƒIâÑ$ b5X‚¯üü‰Ç7NÛ—wýô5ý*ûìKÊ]voݼGáˆtéòææºhP¤£õK/žxý×nê«Wð@}ãH:)×rÓE§Á>™4¹m‚Ä}J«\ºŽdðy§éæòHqJ¢5'•¿Ñ,-íEõûúÐÄB«ß8(E/oöwù9T:ÊjAÕP™+í@*ó££ä³§˜v ýûócžÚùæë3ŸCkô{[wíÞ½«u/œú–ÕÖÒ«¡ÅtìÀ³8t¬Vo}óןþþ“Ï~e B‡¯áÙ¾ ϶‹jS­ÎRTE™d_ŠYD‰3)<³ej7 f–:h±¨f§MV9“[äˆÍ™¡ªŒdpnåBod°7‚üî(QcŸ’¤J‘ÙÔ¿åµ(‰ÅˆÌ@*³Ç!•½Dâ¨#“#wkn⾉µ(¾fuYæ/šÕ圿ÈèEšÛèCcH”ÇÈSåEp—Z-7ÂzYM’,`M%Å¢XsÄ©0n¼8^k'O2—X&YJ¬“¬“l“ì“”i¦æ +ÓBó"Ë"ë +s¥ÎRc­³VÅ5ˆ R£¹ÑÒhm‹ËF^5v†"a¹ÓM±—±]ô¡7õ`+—û<]G×=M_Ú©Oz3Ì%pŸ×ÖurwãwÞÐ…0*¼ƒ~žÉd2[óY\Än%k¹Pâ2¶Âº^uY-"S Ùd…:Ì)È?*FŒFͯúõ~(Þä&J&i¶ÙÄsMÂS1q2ä39_´0¼£³"1lÐÖõˆùÎC±;Îz„‡- +æ<^^ÁU›¸Ê`1r|º_ xÌã }†ê¡jnJmTwÓôŠÁƒ§õ‹QàÝÀ¸¹[ÏÍÁw*Q°ÆF6“aZN— ³7Ñ=ÜYîïHo‘w¼÷Y߈áaãNM:蓜"Ó‡H'½HšÉN²& +½*ã] Œy)»Í_»£+uavoÓ$ŒvžÂÙ;cõ4›Îƒ*¨¦ ÐBއéX»…„ù*Ì™Ñ\µ/fÇmÄõoÚKÎqwsÙ|&=ŽëkœÃù“ ¨o5j:ÎЮ‘0—ÀN“™âLÁ‚á­™ÌÇÖ¤‡„REša>Î0ëv’9ô®‚WÉWTZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_00.pdf code_examples/selections/memdemo_00.dot +ÆþÊÊó*]œF¨K»c÷Ý…¾ü¼ï‰^Eôv‘¹]Ö Þîáá¹ ø$¶°‹%w_êâýéW~¨ñJ~Þ¬¹ ¼]¿šZvsÖ©UeXW¾‹†„ÕX?µ,?vʸ{º='¶¼ºØ^ú’;†ŸükÉwïo>¯|$}JŒ3ÊÝ<—ø-®ÒSð·|Ê7 Ö+ýõ¼~—¬ KS!­…O•!UãSÒ!ÙI˜PÄË!gM(‚x<¸¨G‡8Ü.sׂËéd.¸4ÞéösœÝ«ê àK™vÔßÞ6l³é`Å ¬ñ`Õx‹fÌÚ¸Z±Ü ¦H¢Ê$ˆ*,À„f`8Žð磂%ÀIñÊGËè@»ihËNšû6‘ÿmþaÂ(ðŸ§v! endstream endobj 12 0 obj - 3866 + 4061 endobj 13 0 obj << /Length 14 0 R /Filter /FlateDecode >> stream -xœ]‘ËnÄ E÷|…—Óň¼š¨ŠTM7Yô¡¦ýL©!ˆEþ¾<¢©Ôø`ß‹Œ¡—áe0Úýp‹уÒF:\—Í „+NÚ²©…?Ni3·„ó¸¯çÁ¨…0ô3Wïv8=ËåŠ軓贙àô}sjܬýÁ‡‚ô=HTáºWnßøŒ@“ù<ÈP×~?ÛŸâk·U:—¹%±H\-踙°¢è)Õ4ò_­<,W%nÜÖ–AZ!ÖdnW™«ÀU“8Âꬩ£¦Íù6柇4Ù[Go›¹ÜeM5ÏÌS“G7±Ý8×ûÄæ\A~z{|µ6xÿ»ØèJë4j† +xœ]QËnÄ ¼ó>n«¼UB‘ªí%‡>Ô´À‚“"5rÈß×Àj+õÛ3–²Ëð<í!{w«Ñär¸­»“WœµaE JKËâ-aYFâñØ<.ƒ™VÆ9dÔܼ;àô¤Ö+>0ÈÞœB§Í §¯Ë˜Jãní.h<ä¬ïAáDã^„} BÅçAQ_ûãL²?Æçaʘi%¹*ܬ脙‘ñ<ïOSÏШ=zJ”\'ù-ãmAÔ<§Àxpq™pI¸¬#¦Àx•8Uà´MÒ6„»TïB½yŒ˜ñÓœ*Ìi1ñ1ð§ œN$,â·ÍÂêÁã»'rwŽìˆ}hƒ÷¿²« ªx~(P‰8 endstream endobj 14 0 obj - 276 + 282 endobj 15 0 obj << /Type /FontDescriptor - /FontName /NRETEM+DejaVuSerif + /FontName /VNSMZG+DejaVuSerif /FontFamily (DejaVu Serif) /Flags 32 /FontBBox [ -769 -346 2105 1109 ] @@ -40262,12 +41550,12 @@ 7 0 obj << /Type /Font /Subtype /TrueType - /BaseFont /NRETEM+DejaVuSerif + /BaseFont /VNSMZG+DejaVuSerif /FirstChar 32 /LastChar 122 /FontDescriptor 15 0 R /Encoding /WinAnsiEncoding - /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 640.136719 0 640.136719 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 564.941406 526.855469 ] + /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 0 0 0 591.796875 0 0 0 0 0 0 0 0 644.042969 0 0 640.136719 0 0 0 0 0 0 0 564.941406 526.855469 ] /ToUnicode 13 0 R >> endobj @@ -40279,7 +41567,10 @@ /Filter /FlateDecode >> stream -xœU‘Ak„0…ïùïRЋumw‘=¬ÂRJAÜžZz1¸b$‰¥ûï›èjiæ4oòÞ$ ¡v IAó‚ÐGdÅž”%â·Û(7¬†ˆ_dgð Z|ΨRÓ`AÉñ8O4ZugR+Ј>E9‚«µ£9ÄñL{ÍÆ«ä&RºÃå-˜•j¨™êCš¤iB]Íç=\ïÿK„çêG¦…àCÍàUt’ÔKš¸ÊŠè¾ØòÖÉ òMÖjQ–¾ñýâ1Ó]Õl0£÷â·?ÃêI¬]åTµø–\´ç“‡.³ç­0jÒ\d›çÅ r»D7îþ­W1˾TßÎ=þ}9'ú‚Ÿn +xœU‘Ak„0…ïþŠw)èEMtmw‘=¬ÂRJAÜžZz1¸b$‰¥ûï›èjiæ4oòÞ$i@ +ìÒ€‚äE@‘û ,‘¼ÝF¤a½0€äEv HÑâsF•š óD£U7q¡r&µ‰ÉSœ#¼Z;šC’Ì´×l¼Jnb¥û(Z®Ñ‚Y©†šY°>ДҔ¸šÏ{´Þÿ—ÎÕ6L Á‡šÁ«è$;©—4u•í +=ÝòÖÉ òMÖjQ–¾ñýâ1Ó]Õl0£÷â·?ÃêI¬]åTµø–\´ç“‡.³ç­0jÒ\d›çÅ r»D7îþ­W1˾TßÎ=þ}9'ú‚n + endstream endobj 18 0 obj @@ -40295,20 +41586,13 @@ /Info 16 0 R >> stream -xœc``øÿŸ‰‹D012Ïf``dàÌ­ 1 Kl`±Xº€„Äjk>‘†@Bt -ˆX 1…D03JŤÜú¨Ú +xœc``øÿŸ‰‹D012{2002ð fc%n ân,@BRÄò"] bãJSA3£Ô ˜Ôq¡œ + endstream endobj startxref -6727 +6855 %%EOF -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.dot %PDF-1.7 %µí®û 4 0 obj @@ -40445,8 +41729,9 @@ startxref 8072 %%EOF -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_03.pdf code_examples/selections/sumprod_03.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_02.pdf code_examples/selections/sumprod_02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_05.pdf code_examples/selections/sumprod_05.dot %PDF-1.7 %µí®û 4 0 obj @@ -40599,7 +41884,8 @@ startxref 8666 %%EOF -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_02.pdf code_examples/selections/memdemo_02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_01.pdf code_examples/selections/submod_01.dot %PDF-1.7 %µí®û 4 0 obj @@ -40607,12 +41893,20 @@ /Filter /FlateDecode >> stream -xœ­VMoÛ0 ½ëWð°CrˆJRßÇØa[.CÑCѦ-Šf@»Ã°ýúQ²d'èÒ°Q¤á‹)ê‘â“I€ò·!ùG‰áv¯^j“˜\Ô.ZCVŒÄÉ÷ÏpqƒððKüV—òyRW×âp§á $ „F' °Ï &ÖÀ3„¨)Úüå9§#ù†,Ž~b×jô#²Å±iðQm÷ý=ò¯Ðm•,DD¶¶f>@Ï‘5%‰`»W÷ÜØÞ«ÕÍzû¤>mÏ”‡ J)y°^w³8‘É呸ÿsú8™“7hÙ§˜Ïsyå“8Áª›ÆJD?°*E›ÉÊ'pé««Õ‡õ&¬h}½½TÔ]À$Ë5²âr×\vk³z)ngs`Ä–C6È1‚§zðǤʊ$R-,9-¹DsÒàF'%ˆapVcáö,ë3§II[ôàHW¶–¦ëALÚ³œMÐ’VQí÷N°¦6˜J›¢Ñ>‹^(³åÜy¢œÙjïc¥Ü@™Ù@¤â2P>tïT^@è–U{Îr¶Ü‰ã ¬Þž/x²f¾â©ôD%†nÍÛ÷EÏçEÿs7]ñ-‡b/CÖ<^Lô"r~”OÑ“ï; `2:Æ0_@Y$”÷Ç¡)ˆÈ÷ªz! -ÚØª¡7+Žt/·•xŒ×•ŒÄA4ï wËòm$šñ‹p÷(Û9“÷zƒ·ë)ã|ó4îÇ+:eòƒuøÈÀù:ĘHmÀR…ê­¬¡œ­?*DÃ}%ƭ畽'À¿“*ÁÒuÊbiûb²\™ìª-·F Ìm_v óVR´:ЕßB)Íð¸S™V{d^½]‰UP™õh jÄ®rk/Ÿò«á]ïÑ©¯ê&ö}þ +xœ­V;o1 Þõ+4t°+$E½Æ( +èÐÚKdpã&A M‡¢ýõ%¥sîÜÔ¹ Îb“2Eñõ‘D ò·BùÀíõ£ùaÀùB’2{d! +F²_>Ú³-ØÛŸí/öBþïÍå•H€Ýûɶ18–ûJÑÂÞ>Ø”f¶ B‡à2ÆFËdzŒÐÝݳJ±¿\é;3|býš½O·ö|csçì3ÉÑÅ" +ŠÝ<𳛬$vscÛåæÞ|ØŒ=XÑ…,Gûô}–E賘ŠÃÿ›ô~²IQƒ£B<̵Éf:fÑù4‹ä‡E¢s¦EÉkâü›.ï–«´ÀåÕæÂ¬ÀEHèI8!XDv{‘í2-v»*7êAi.(1ÛÂd«¼¯“‚ꃊ°¬q…è@0$ ":’œ…è¼"—CQ8q,özÔìzå>ºƒê–r +AF‡‰äW£ÅÂ7c•0Kûº6½‚’…Sb‰çƒNˬոRóa‡œæãCØ%1;òòëУÓC¯yQ©Ù^(øÐ‡¢Ï£È¢2%ºœZˆ€|-jÒo®cf +üH‚c-K{„`í8Š ‡Øaä…ü(g¿Ð`(Þ#Q?êÒá¬/©Ÿõ•f)Ó®›^ ýà~cîš7ðÅÁ|,ïßÞ’wÄ8$çsªœÔ¥K’ê¢í—[æåXF§ `<ïãm“!jd +P—²TjÛ”Ê +Ñæàõmó@ø|`± +Dß,ÖI¡Å‰I‚ß +ß¾5@SŠUœ,Õ—xo—œv¥”4â’:K+¥åÇ‹uî ­X=›¸«!edÉjuè%ou3ÕhRŽm5­LÂ^L™¦À ärh¨ÌÝáS³úTÑn{|Mø=­`ÅôeàºÎºCßyàTljý¤pæYÍ@4ÀPMåZú'ç…€_kÕ&C–|h;1q݉)£zDjËr¼à)¢.žuI|êr­g’¤–üÀXi¿E׺:Ðö õ@©Î…Jv>à¤V£zu@í_nËJ=•P÷oïe¦8ò}kóÙü Àä_ endstream endobj 5 0 obj - 727 + 809 endobj 3 0 obj << @@ -40641,49 +41935,38 @@ 11 0 obj << /Length 12 0 R /Filter /FlateDecode - /Length1 5752 + /Length1 5532 >> stream -xœíX{xU–¿·NݪêêWU?Òy§›¤ÉûA7‚ - ÈC$˜1° ¡&„ dtPÈcÂSÉh|EGݨAtÆAvGœýÄ ã°_ȧ³ŒŸÆ¤²§ºqvÖùœïÛ¿vÿØ{»ºî¹ÏsÎýÝ߹݄BdÒB€xï]µ¤þ²é±6BÌ/ÂÝuoÃZo[ð™„ØBè¾êúå«>où2Bˆ½GíX¾rCõ_^(]ŠågqŒT³lI(÷w·O!D)º15Xáðš»Q®A9£fÕÚÆÉ[ùPnEyôʺ{—2ýS”{P·jIc=_Ë‚(²·þþeõë6_¢ÆÂî!©ÖÛùjÖ‰ÚŠ$Q³ðïá*±JŽ'…‘ }£ˆr¡ïB_‘Sõ©~Ÿê«æÉàH¼ª·‹¶¯ÿ|¿mÌ1ü~_†Vg‘MZa’Ïœ@ mJØ+µ9}»Òw¦¶luVYšl\#¾üÖ¼D;É4³Äq9ÙÊ®ô*ýª£¤d)ìïÕû¿ú£ÒÿU¿ZR¢–8JŠ´ %§.§1«'‡oÊê¡=\Dø‹w$.âé‰ïIèI´VÒJZœ>Bp»â‚1N5èöÛhúˆ‘Å£Ç}Å£Gb›˜^<‘qPx}ÛÃ[×®ÙÙÝ©wNè¨}ñ_ú?¦ÜÞ-÷=¨,®z¥â÷ŸÓ9WšêÜEO ý6¼fæ´S?uƆ-¡¥—²³/£3 7ŒûÈ.³‹èyN»ƒ„˜À¸å9TN Ì­R'J"U$цÙÂYIgs‚­ý$_',•AUì6«Yq%V§ œÊ…ߨÃ!¥½}žÀ…>tĬò¢Â®{"»n|éº!‹Ñª›ÂÂ/e9(­ÔâìÄNí6»ÏbYB–5Ë–§æ:„JRI}&Z¤˜ÑEAðAÐMqzÿÐô‡8×Óœ{ïЕcÍC­Í\ -wöÛ”0«øi8L§ëÝaÃv «ÑöÚ.•d©ZfªXgr+už¥NRgÚäPd‘Ƨf0bµ%ñ6_œ#ÃDT—ϯÜè ô L¡iÑÝîë `Ò_Rä÷Æ»„*E ¹•TÓ‹}8·KS©ÛÅûèÍ}ùØÏ_Ñ/êû÷wêúú7Þà®|võèÁ7ÎèÒ/…ÿÅáð¦­ÛšÚÌԾֽåqGüÛÿöÛ¨ÞÏë𫈕Œ"ÕZ‰`uä}ö‚ü<»ÍI–åù¼~qYRJba^U`„ì-Ht‚h"ŠlÁ+eçù“È‚Íà ÜFp[zû”~ݯôãaAÓJ#} ¥?ðáY¥§Guxа‰ÍÉZç¡j0•zÔ¬3V ª†^@3UÃ@ATÓ±‰{iõú†ûCËï_Q¯/]Éhÿã‰O"¡e'®²loøêÌŸ>U½_&ß·¸¢1;,®X07ìšN]ÛZ^ÕžÚÞñ–…ZôÒ¨l}`íÃ&½ôÖçv{×®ÿ™Ú8dBÉ:ãûÏl£–Ì0ÄëlxÊ„]CL¢Êά\ˆD#Æ>nDT<ŽQ òˆ4‘FguÉó¼NÌDÃIÍÄ<Ü3N;n¡fò/-4Ê|%ö1Gû ÷Äú-ÚÇWìSY±?¨úÜ4òútåvʇùß½ýPß@Sg1n©@nq‘$2GKå$w‘²6K|9ìhµT%K&w"I¢‰öœd\­ß1Ø×§èÈ){8¼)ZÊæ8OÎÓóÜy÷ù$fp…/FcƒIâÑ$ b5X‚¯üü‰Ç7NÛ—wýô5ý*ûìKÊ]voݼGáˆtéòææºhP¤£õK/žxý×nê«Wð@}ãH:)×rÓE§Á>™4¹m‚Ä}J«\ºŽdðy§éæòHqJ¢5'•¿Ñ,-íEõûúÐÄB«ß8(E/oöwù9T:ÊjAÕP™+í@*ó££ä³§˜v ýûócžÚùæë3ŸCkô{[wíÞ½«u/œú–ÕÖÒ«¡ÅtìÀ³8t¬Vo}óןþþ“Ï~e B‡¯áÙ¾ ϶‹jS­ÎRTE™d_ŠYD‰3)<³ej7 f–:h±¨f§MV9“[äˆÍ™¡ªŒdpnåBod°7‚üî(QcŸ’¤J‘ÙÔ¿åµ(‰ÅˆÌ@*³Ç!•½Dâ¨#“#wkn⾉µ(¾fuYæ/šÕ圿ÈèEšÛèCcH”ÇÈSåEp—Z-7ÂzYM’,`M%Å¢XsÄ©0n¼8^k'O2—X&YJ¬“¬“l“ì“”i¦æ -ÓBó"Ë"ë -s¥ÎRc­³VÅ5ˆ R£¹ÑÒhm‹ËF^5v†"a¹ÓM±—±]ô¡7õ`+—û<]G×=M_Ú©Oz3Ì%pŸ×ÖurwãwÞÐ…0*¼ƒ~žÉd2[óY\Än%k¹Pâ2¶Âº^uY-"S Ùd…:Ì)È?*FŒFͯúõ~(Þä&J&i¶ÙÄsMÂS1q2ä39_´0¼£³"1lÐÖõˆùÎC±;Îz„‡- -æ<^^ÁU›¸Ê`1r|º_ xÌã }†ê¡jnJmTwÓôŠÁƒ§õ‹QàÝÀ¸¹[ÏÍÁw*Q°ÆF6“aZN— ³7Ñ=ÜYîïHo‘w¼÷Y߈áaãNM:蓜"Ó‡H'½HšÉN²& -½*ã] Œy)»Í_»£+uavoÓ$ŒvžÂÙ;cõ4›Îƒ*¨¦ ÐBއéX»…„ù*Ì™Ñ\µ/fÇmÄõoÚKÎqwsÙ|&=ŽëkœÃù“ ¨o5j:ÎЮ‘0—ÀN“™âLÁ‚á­™ÌÇÖ¤‡„REša>Î0ëv’9ô®‚WÉWÆþÊÊó*]œF¨K»c÷Ý…¾ü¼ï‰^Eôv‘¹]Ö Þîáá¹ ø$¶°‹%w_êâýéW~¨ñJ~Þ¬¹ ¼]¿šZvsÖ©UeXW¾‹†„ÕX?µ,?vʸ{º='¶¼ºØ^ú’;†ŸükÉwïo>¯|$}JŒ3ÊÝ<—ø-®ÒSð·|Ê7 Ö+ýõ¼~—¬ KS!­…O•!UãSÒ!ÙI˜PÄË!gM(‚x<¸¨G‡8Ü.sׂËéd.¸4ÞéösœÝ«ê àK™vÔßÞ6l³é`Å ¬ñ`Õx‹fÌÚ¸Z±Ü ¦H¢Ê$ˆ*,À„f`8Žð磂%ÀIñÊGËè@»ihËNšû6‘ÿmþaÂ(ðŸ§v! +xœíW{tUš¿·¾{»ºª»««ú‘IèN§ÉûA‡ !”‡ ²á Ø„¤CL!ÈÈ0ò „‡(™™ :øØÈŽÅÕ¨ ¾wf„QGÝŽzNÌqfYFRÙ¯:83;sœ³ó×ì[·«ë~ß}}ßýÝ*B !2i'@|w4¬lzßþ`;*.",½£yƒoÉ£W q”BÕ4­iø¤ý÷1B”_à¨=kÖn®I;Z†õÇp̸ÚÕ+#y¿¹y!Îå¨_‹ +—Ïö ʇPάmØÐRc“PîE¹xmã+ )ǵœŸ¢<®aeK‹òBÔT”}Mw­nÚ¸Ùò%ʸ>¿¤Æèd5¼­IŠng¯Ë«ÔÊ«FŠbúÇõBÿ…þb·æ×‚~Í_Ãȵõ0êÚÇF§¨|õ‡»,9æÿe{XzM¶êE£ü¶d¢ÚikòAë~·_`oúþ1îj{«"$sâ/Èpä§8I–§d$俍Wc¸B(tEÐ\áðXR4pÅøòwêÀ—Z8¬…]áb=SÍmÌmÉîËe­Ù}´O胋ñ˜%æ%Äû’ú’ûRU´Š–2,^OBIh¼[+ñúKÈS:n|‰¿tÜl¥å´$”EŸïúÁÎ ë÷övÝSºêŸüÅÀ{T8¸ãÎ{ÔÕO-þð:ïrskã=ûèóC¿Š®Ÿ=ãù®Ÿ>=kóŽÈªwrrÞÇ`aóÈßç1.ò¸~+‰p "T°X¸KÔˆ…;ã*•¢U¤ªUTð¶Ù‡jµŠs‹Òˆq²k´¬’ASŠÃfq%·nõ¿i‰f@Ê®ô'†.ôc æ,¬UþybH䟛?Õú¹)‹qÕuaIÆél¥Uz‚“8©Sq:Y6϶d;²•|-Ïe©"UÔ/ÑÒŠCT~(ñÒcB¦104s»àyDðº|²m¨£­[HÎ}“åõƒ?ŒFéL£7júdúAß­D#™dºž•.6J^µ1q•›4J[]ª,Ò¤ôLNÊ(¦ø\™Ñ<þ zµ?t%db +]‹g»¿?„:u \ô‡Æ›YB“â•<ŠFjR(Á뱈éÔëa~:Hó~~ò§OîÇ» cÓ /—?úøÄÑΟïDŸúÙÑ­;wµ vF9©¶wÇC®¤WºÿãWq»Ÿ0Þf ±Kjô°ÅáÃü΂|§â&«óý¾ ¸zTZJQ~u(Cö¦¸A”ˆ*+`ñYsòƒ©@d‹’(„LÜÆ0-WúÕïàfA×Êbý¡ 1u ôësj_ŸæJDÇÊ)º“ +zHµ’tš¨¢nü­D3…@!ÍÒL-¢À&áôºMÍwEÖÜU×d¬ZËŽtþî¹b‘Õg–4Øw7yöÓ·#ÕoUÈw®XÜ2Zx@¬«œõͤž]@?ÖÞÝõ²Ú«Ö±9Æà†HFÙ8ùšÓøUdBÉ ã*ÿ÷l‹žÊL˜âu.Xå–:+]O$QcD°©b±k13O¡«1 ·cƒ ‘ÆitN¼¨ò_‰è8©Ø†û&j&.Ñ%ñÑ"©HfUØÇï3Ü7Ò‡èñ>þR¿ÆKƒ%šßK”oÓµ»)‹²ß¼²½°5޳nù-æËCüä}LS½@’9FµV÷:Ò*V;:W¾W…,šOJSSäÜ ÙÕ+×ÊÊ®˜f_é¿ffhÀÄ[±.‘€Øè 0Zü– „Òq.ä„Dk0Â#ÂJzŒ#ãÞûú«³[ÿ­é=رïÀ}£Ñ“‘tÂà ¿""äD¿y³îÅ7.}øÁG¯›ñþ ÷ÇRÜZ¤OwØ[DÕT9"É>T› D« ©ŒÁ\™:m& 8,«\´‘Ø5›[‘5AòŠQÜ™šÆI¦àU/\‰]»CŽt…µ‘_x$-eÈÚŸsCœFÈ 1„tàL@:8M¨™ ÉÌ…7ž//ñ^ÏWBOï5¦¾’…Oê뇺…åøŸ?t!Šï!„ÍC\§’¹ºßî!NÙ DRVó:Ç&Íã°'™&Z¬Š¬R—- ÷°IEªyÐÄOž/ŒaŽH±ÍgØfØgxfx9. ™çóz¨E¤¦þRßÖjiª¯ö3ªo%Ù{…Æ×¦Ð³íîÞœ­£r¸ì£—ß7þ=Ûzîëüf÷áSûL|OF|÷^Ç÷MzÐÏ5Äwú!kÒ!…¶â[©¶v¸F¥ØJÝB¾WcY¤Ø„7—ÈšïÓÛ˜é &Žã溼Ï¿,Ä9ú:¸ûŸ¤u&¬¿ú*†°6Óºƒ{Ø‹°æzt¨!²ÂxcpÐx}Eäd”nì{ý£>¼ôÆ‹&®0Û†V¤RЗО/£R…rÂéTl² PœŠõ„hEÑZ.Y©€t"ˆå‚@çZÓg +¼)û]ÉB«w=˜&xY²Šœ«ÓžXàµC’áÄ8ÄÕþk&ÂÕ_Ÿ3ùGs}'ÔEUùã ZÍ3PTÌ3pi¢º„ÖÑÊD§WÍá9–\9×–¥d9Ǩ•t¡pŸÏo³Ì·,Ë‹mµ4"TójKDl&›h‹°¶² |ƒe³dâs³²Ñ¹QmÖšSšG5§f#Vù„ÿ‰ÔøÛFV)ò;Æš>7ÃX¿™~vŠæÑ¼tÿ ÔÚXtGèvK¸l¯< o!nƒÂûõõß,º{™ê|³bò“› 7÷cŒ$ªgHåC¡Ü*YçÚ$&´ZŽK­Dd(àrhçD1ƒÁ° aÈ©±o#4ò>ð§Ý[‰olËÈÆþyP# U%¥=0¹Ø÷áØÐï£P3T#L«_ 5½4°øÚÑ—Œ‹$~z1ßrí„ óð™NTÔ(d¦ éJ öVz¿pNøÀ7ÆWì›ä{ÌŸ1 Çèqú–®ëå–×èkÖ}ç ßTþÖ% Ï%þ•…þ[þ×ãe<ÿÿú‹‹Êd=f¢—¼‰åm¬ÝL¢ø¦y/éÂúÇdK\ÿ³š5ïq³ïÛ¤—æ`?Á,´ˆ˜¹|ç)Á¶± Ê]dU¼}ÎÇË18/l"ÌÇÚüøˆ.Ò “ñ0>?rÇG½I¦çÈ)³ÎÏ“Nì·€¼ƒeÎ>›½4*ã™ŲŠ_Œ—/ÈòøÊ¦.Ê/"Ów‘(úù<ÎÞ=¢§9tTC ­Dz +f¢v‰²j,YñR÷oÄAØ‚ë_÷—œ– 9,‹žÂuÌ5Îãü§È´·-‰·`ÚŸ‘.°£…Éü%2[œm±ã1ÖFaë(¡Ç,i¤š´Á"œaêö’yô\¥§-œ~‘äûÔ!8+Ò£ßZé{m‰¿ ÿ/DŸ*úzÈüÇf_ïððüJ6Š/éá©=´ö°`àòw5^.ÈŸ3¿Ò×óúôŠë³N¯®@ÝÂJ¬šªQ?½¢€ÄYG¸½÷—µÏ„V8Ëþ‹ŒÙÔ—þöùõ»C“Ôw­—P41BG€…¶ÁH#DKûúÝkMê»×õºì #ÆÎ’þêðU~™¬ã‰ä ¼ùhÔÍþ ë{°>™ídLŽŽ_89~K ñþyû+$¡.¤›J$ü¦ÛÑŒbB¤Cæ÷ük +É#GâÖ©ä¨É$ÌFÿeÖ+´ë—^5àœÎÆ2ùÙœÕY,^qÀË/eñ—#ðRôUÁ‹mð¼ ÎØà¹g=ü¹<ëÞ öél/ÖöN‚Ž=gx‡{vWñ=g`O;Û½+ÈwWÁníB»vaç½ß™;{‡ûôav¯;péø~1lO€{:á{6hDx»ÛÖª|[2lmSøÖ´)p÷'¿Û [œÐÚ ›5h‘aS³o„橼ÙSaÚë ¸Ë€uM¾Î€&4鬱 îl(çwÖCC9¬­·óµ*¬mgõv¨×Y—ŒB]í^g@íš*^{jÛÙšš _SktV„ÕØiõ D"p‡V°Ò€ê…¼Ú€…p»U,ŸËÚ`©K* Ò€2`ñ¸Í€…Xà[C0ÿ'Ÿß·8aÞ ú4¸Ù³#0+ÃÊguÂÌÜ*¿É 3\0]ùôd¨¸ÑÍ+êáÆi*¿Ñ Ón°ñi*Ü Küèèf²©PÎ +xù\˜RæáSæ@Ùd™—y Lg“e˜vñIUž¨ñ° &j0Áã ü|òðRÆ•¸ù8”„d^â†ÐX‰‡däg¬ÅEI¼¸Š +½¼( ŠúXaºÌ ½PØÎ +¤/è„ü<ÏŸyèDžòt–‹¦çF '»˜çÜÙhXv1dá#Ë€1“ èHâÁ*È ¸xæBà°€ :˰‚ß—ÄýUà­q_øúØh\l´£ÛYº é:K @ªFeBJr1OYÉ8kr1$ˆ‹& ‚×ãáÞzð¸ÝÜãÎÜnpa?×Ð0¼š*>ÔiàDû `›b€'p$Cgvl(Øô‰õ c¹ ¤XE[= j`á!niŽãx?U¬NНzt!h/ìØKóþÏ^ämÀß¼ýÿä, endstream endobj 12 0 obj - 4061 + 3866 endobj 13 0 obj << /Length 14 0 R /Filter /FlateDecode >> stream -xœ]QËnÄ ¼ó>n«¼UB‘ªí%‡>Ô´À‚“"5rÈß×Àj+õÛ3–²Ëð<í!{w«Ñär¸­»“WœµaE JKËâ-aYFâñØ<.ƒ™VÆ9dÔܼ;àô¤Ö+>0ÈÞœB§Í §¯Ë˜Jãní.h<ä¬ïAáDã^„} BÅçAQ_ûãL²?Æçaʘi%¹*ܬ脙‘ñ<ïOSÏШ=zJ”\'ù-ãmAÔ<§Àxpq™pI¸¬#¦Àx•8Uà´MÒ6„»TïB½yŒ˜ñÓœ*Ìi1ñ1ð§ œN$,â·ÍÂêÁã»'rwŽìˆ}hƒ÷¿²« ªx~(P‰8 +xœ]‘ËnÄ E÷|…—Óň¼š¨ŠTM7Yô¡¦ýL©!ˆEþ¾<¢©Ôø`ß‹Œ¡—áe0Úýp‹уÒF:\—Í „+NÚ²©…?Ni3·„ó¸¯çÁ¨…0ô3Wïv8=ËåŠ軓贙àô}sjܬýÁ‡‚ô=HTáºWnßøŒ@“ù<ÈP×~?ÛŸâk·U:—¹%±H\-踙°¢è)Õ4ò_­<,W%nÜÖ–AZ!ÖdnW™«ÀU“8Âꬩ£¦Íù6柇4Ù[Go›¹ÜeM5ÏÌS“G7±Ý8×ûÄæ\A~z{|µ6xÿ»ØèJë4j† endstream endobj 14 0 obj - 282 + 276 endobj 15 0 obj << /Type /FontDescriptor - /FontName /VNSMZG+DejaVuSerif + /FontName /NRETEM+DejaVuSerif /FontFamily (DejaVu Serif) /Flags 32 /FontBBox [ -769 -346 2105 1109 ] @@ -40699,12 +41982,12 @@ 7 0 obj << /Type /Font /Subtype /TrueType - /BaseFont /VNSMZG+DejaVuSerif + /BaseFont /NRETEM+DejaVuSerif /FirstChar 32 /LastChar 122 /FontDescriptor 15 0 R /Encoding /WinAnsiEncoding - /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 0 0 0 591.796875 0 0 0 0 0 0 0 0 644.042969 0 0 640.136719 0 0 0 0 0 0 0 564.941406 526.855469 ] + /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 640.136719 0 640.136719 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 564.941406 526.855469 ] /ToUnicode 13 0 R >> endobj @@ -40716,10 +41999,7 @@ /Filter /FlateDecode >> stream -xœU‘Ak„0…ïþŠw)èEMtmw‘=¬ÂRJAÜžZz1¸b$‰¥ûï›èjiæ4oòÞ$i@ -ìÒ€‚äE@‘û ,‘¼ÝF¤a½0€äEv HÑâsF•š óD£U7q¡r&µ‰ÉSœ#¼Z;šC’Ì´×l¼Jnb¥û(Z®Ñ‚Y©†šY°>ДҔ¸šÏ{´Þÿ—ÎÕ6L Á‡šÁ«è$;©—4u•í -=ÝòÖÉ òMÖjQ–¾ñýâ1Ó]Õl0£÷â·?ÃêI¬]åTµø–\´ç“‡.³ç­0jÒ\d›çÅ r»D7îþ­W1˾TßÎ=þ}9'ú‚n - +xœU‘Ak„0…ïùïRЋumw‘=¬ÂRJAÜžZz1¸b$‰¥ûï›èjiæ4oòÞ$ ¡v IAó‚ÐGdÅž”%â·Û(7¬†ˆ_dgð Z|ΨRÓ`AÉñ8O4ZugR+Ј>E9‚«µ£9ÄñL{ÍÆ«ä&RºÃå-˜•j¨™êCš¤iB]Íç=\ïÿK„çêG¦…àCÍàUt’ÔKš¸ÊŠè¾ØòÖÉ òMÖjQ–¾ñýâ1Ó]Õl0£÷â·?ÃêI¬]åTµø–\´ç“‡.³ç­0jÒ\d›çÅ r»D7îþ­W1˾TßÎ=þ}9'ú‚Ÿn endstream endobj 18 0 obj @@ -40735,142 +42015,146 @@ /Info 16 0 R >> stream -xœc``øÿŸ‰‹D012{2002ð fc%n ân,@BRÄò"] bãJSA3£Ô ˜Ôq¡œ - +xœc``øÿŸ‰‹D012Ïf``dàÌ­ 1 Kl`±Xº€„Äjk>‘†@Bt +ˆX 1…D03JŤÜú¨Ú endstream endobj startxref -6855 +6727 %%EOF -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_01.pdf code_examples/selections/sumprod_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_02.pdf code_examples/selections/sumprod_02.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_03.pdf code_examples/selections/submod_03.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_00.pdf code_examples/selections/sumprod_00.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_04.pdf code_examples/selections/memdemo_04.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_04.pdf code_examples/selections/sumprod_04.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_00.pdf code_examples/selections/memdemo_00.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_00.pdf code_examples/selections/submod_00.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_01.pdf code_examples/selections/submod_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_02.pdf code_examples/selections/memdemo_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_05.pdf code_examples/selections/sumprod_05.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_01.pdf code_examples/selections/memdemo_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_05.pdf code_examples/selections/memdemo_05.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_02.pdf code_examples/selections/submod_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_03.pdf code_examples/selections/memdemo_03.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_01.pdf code_examples/selections/memdemo_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/select.pdf code_examples/selections/select.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_03.pdf code_examples/selections/sumprod_03.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_03.pdf code_examples/selections/memdemo_03.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_04.pdf code_examples/selections/sumprod_04.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_00.pdf code_examples/intro/counter_00.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_01.pdf code_examples/intro/counter_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_02.pdf code_examples/intro/counter_02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_03.pdf code_examples/intro/counter_03.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_clean.pdf code_examples/fifo/addr_gen_clean.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ffram.pdf code_examples/fifo/rdata_map_ffram.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_flat.pdf code_examples/fifo/rdata_flat.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_luts.pdf code_examples/fifo/rdata_map_luts.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ram.pdf code_examples/fifo/rdata_map_ram.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_cells.pdf code_examples/fifo/rdata_map_cells.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_alumacc.pdf code_examples/fifo/rdata_alumacc.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_memrdv2.pdf code_examples/fifo/rdata_memrdv2.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_gates.pdf code_examples/fifo/rdata_map_gates.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_coarse.pdf code_examples/fifo/rdata_coarse.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ffs.pdf code_examples/fifo/rdata_map_ffs.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_adffe.pdf code_examples/fifo/rdata_adffe.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_proc.pdf code_examples/fifo/rdata_proc.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_coarse.pdf code_examples/fifo/rdata_coarse.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_flat.pdf code_examples/fifo/rdata_flat.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_gates.pdf code_examples/fifo/rdata_map_gates.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_proc.pdf code_examples/fifo/addr_gen_proc.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ffram.pdf code_examples/fifo/rdata_map_ffram.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_clean.pdf code_examples/fifo/addr_gen_clean.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_wreduce.pdf code_examples/fifo/rdata_wreduce.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_luts.pdf code_examples/fifo/rdata_map_luts.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.dot -pdf2svg code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.svg +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_alumacc.pdf code_examples/fifo/rdata_alumacc.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_cells.pdf code_examples/fifo/rdata_map_cells.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_proc.pdf code_examples/fifo/rdata_proc.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.dot +pdf2svg code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.svg +pdf2svg code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.svg +pdf2svg code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.svg pdf2svg code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.svg pdf2svg code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.svg -pdf2svg code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.svg -pdf2svg code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.svg pdf2svg code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.svg -pdf2svg code_examples/intro/counter_01.pdf code_examples/intro/counter_01.svg -pdf2svg code_examples/intro/counter_02.pdf code_examples/intro/counter_02.svg -pdf2svg code_examples/intro/counter_00.pdf code_examples/intro/counter_00.svg -pdf2svg code_examples/intro/counter_03.pdf code_examples/intro/counter_03.svg -pdf2svg code_examples/extensions/test1.pdf code_examples/extensions/test1.svg -pdf2svg code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.svg -pdf2svg code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.svg -pdf2svg code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.svg -pdf2svg code_examples/techmap/mymul.pdf code_examples/techmap/mymul.svg -pdf2svg code_examples/techmap/addshift.pdf code_examples/techmap/addshift.svg +pdf2svg code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.svg +pdf2svg code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.svg pdf2svg code_examples/show/cmos_01.pdf code_examples/show/cmos_01.svg pdf2svg code_examples/show/splice.pdf code_examples/show/splice.svg pdf2svg code_examples/show/example_second.pdf code_examples/show/example_second.svg -pdf2svg code_examples/show/example_third.pdf code_examples/show/example_third.svg pdf2svg code_examples/show/example_first.pdf code_examples/show/example_first.svg +pdf2svg code_examples/show/example_third.pdf code_examples/show/example_third.svg pdf2svg code_examples/show/cmos_00.pdf code_examples/show/cmos_00.svg -pdf2svg code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.svg -pdf2svg code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.svg -pdf2svg code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.svg -pdf2svg code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.svg +pdf2svg code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.svg +pdf2svg code_examples/techmap/mymul.pdf code_examples/techmap/mymul.svg +pdf2svg code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.svg +pdf2svg code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.svg +pdf2svg code_examples/techmap/addshift.pdf code_examples/techmap/addshift.svg +pdf2svg code_examples/extensions/test1.pdf code_examples/extensions/test1.svg pdf2svg code_examples/opt/opt_muxtree_full.pdf code_examples/opt/opt_muxtree_full.svg -pdf2svg code_examples/opt/opt_share.pdf code_examples/opt/opt_share.svg pdf2svg code_examples/opt/opt_share_full.pdf code_examples/opt/opt_share_full.svg +pdf2svg code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.svg +pdf2svg code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.svg +pdf2svg code_examples/opt/opt_share.pdf code_examples/opt/opt_share.svg pdf2svg code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.svg pdf2svg code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.svg -pdf2svg code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.svg -pdf2svg code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.svg -pdf2svg code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.svg -pdf2svg code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.svg -pdf2svg code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.svg -pdf2svg code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.svg -pdf2svg code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.svg -pdf2svg code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.svg -pdf2svg code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.svg -pdf2svg code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.svg -pdf2svg code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.svg -pdf2svg code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.svg -pdf2svg code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.svg -pdf2svg code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.svg -pdf2svg code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.svg -pdf2svg code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.svg -pdf2svg code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.svg -pdf2svg code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.svg -pdf2svg code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.svg +pdf2svg code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.svg +pdf2svg code_examples/selections/memdemo_04.pdf code_examples/selections/memdemo_04.svg pdf2svg code_examples/selections/sumprod_01.pdf code_examples/selections/sumprod_01.svg -pdf2svg code_examples/selections/sumprod_02.pdf code_examples/selections/sumprod_02.svg -pdf2svg code_examples/selections/submod_03.pdf code_examples/selections/submod_03.svg pdf2svg code_examples/selections/sumprod_00.pdf code_examples/selections/sumprod_00.svg -pdf2svg code_examples/selections/memdemo_04.pdf code_examples/selections/memdemo_04.svg -pdf2svg code_examples/selections/sumprod_04.pdf code_examples/selections/sumprod_04.svg pdf2svg code_examples/selections/memdemo_00.pdf code_examples/selections/memdemo_00.svg -pdf2svg code_examples/selections/submod_00.pdf code_examples/selections/submod_00.svg -pdf2svg code_examples/selections/submod_01.pdf code_examples/selections/submod_01.svg -pdf2svg code_examples/selections/memdemo_02.pdf code_examples/selections/memdemo_02.svg +pdf2svg code_examples/selections/sumprod_03.pdf code_examples/selections/sumprod_03.svg +pdf2svg code_examples/selections/sumprod_02.pdf code_examples/selections/sumprod_02.svg pdf2svg code_examples/selections/sumprod_05.pdf code_examples/selections/sumprod_05.svg +pdf2svg code_examples/selections/memdemo_02.pdf code_examples/selections/memdemo_02.svg +pdf2svg code_examples/selections/submod_01.pdf code_examples/selections/submod_01.svg +pdf2svg code_examples/selections/submod_03.pdf code_examples/selections/submod_03.svg +pdf2svg code_examples/selections/submod_00.pdf code_examples/selections/submod_00.svg +pdf2svg code_examples/selections/memdemo_01.pdf code_examples/selections/memdemo_01.svg pdf2svg code_examples/selections/memdemo_05.pdf code_examples/selections/memdemo_05.svg pdf2svg code_examples/selections/submod_02.pdf code_examples/selections/submod_02.svg -pdf2svg code_examples/selections/memdemo_03.pdf code_examples/selections/memdemo_03.svg -pdf2svg code_examples/selections/memdemo_01.pdf code_examples/selections/memdemo_01.svg pdf2svg code_examples/selections/select.pdf code_examples/selections/select.svg -pdf2svg code_examples/selections/sumprod_03.pdf code_examples/selections/sumprod_03.svg +pdf2svg code_examples/selections/memdemo_03.pdf code_examples/selections/memdemo_03.svg +pdf2svg code_examples/selections/sumprod_04.pdf code_examples/selections/sumprod_04.svg +pdf2svg code_examples/intro/counter_00.pdf code_examples/intro/counter_00.svg +pdf2svg code_examples/intro/counter_01.pdf code_examples/intro/counter_01.svg +pdf2svg code_examples/intro/counter_02.pdf code_examples/intro/counter_02.svg +pdf2svg code_examples/intro/counter_03.pdf code_examples/intro/counter_03.svg +pdf2svg code_examples/fifo/addr_gen_clean.pdf code_examples/fifo/addr_gen_clean.svg +pdf2svg code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.svg +pdf2svg code_examples/fifo/rdata_map_ffram.pdf code_examples/fifo/rdata_map_ffram.svg +pdf2svg code_examples/fifo/rdata_flat.pdf code_examples/fifo/rdata_flat.svg +pdf2svg code_examples/fifo/rdata_map_luts.pdf code_examples/fifo/rdata_map_luts.svg pdf2svg code_examples/fifo/rdata_map_ram.pdf code_examples/fifo/rdata_map_ram.svg -pdf2svg code_examples/fifo/rdata_map_cells.pdf code_examples/fifo/rdata_map_cells.svg -pdf2svg code_examples/fifo/rdata_alumacc.pdf code_examples/fifo/rdata_alumacc.svg -pdf2svg code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.svg pdf2svg code_examples/fifo/rdata_memrdv2.pdf code_examples/fifo/rdata_memrdv2.svg -pdf2svg code_examples/fifo/rdata_map_gates.pdf code_examples/fifo/rdata_map_gates.svg +pdf2svg code_examples/fifo/rdata_coarse.pdf code_examples/fifo/rdata_coarse.svg +pdf2svg code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.svg +pdf2svg code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.svg pdf2svg code_examples/fifo/rdata_map_ffs.pdf code_examples/fifo/rdata_map_ffs.svg pdf2svg code_examples/fifo/rdata_adffe.pdf code_examples/fifo/rdata_adffe.svg -pdf2svg code_examples/fifo/rdata_proc.pdf code_examples/fifo/rdata_proc.svg -pdf2svg code_examples/fifo/rdata_coarse.pdf code_examples/fifo/rdata_coarse.svg -pdf2svg code_examples/fifo/rdata_flat.pdf code_examples/fifo/rdata_flat.svg +pdf2svg code_examples/fifo/rdata_map_gates.pdf code_examples/fifo/rdata_map_gates.svg pdf2svg code_examples/fifo/addr_gen_proc.pdf code_examples/fifo/addr_gen_proc.svg -pdf2svg code_examples/fifo/rdata_map_ffram.pdf code_examples/fifo/rdata_map_ffram.svg -pdf2svg code_examples/fifo/addr_gen_clean.pdf code_examples/fifo/addr_gen_clean.svg pdf2svg code_examples/fifo/rdata_wreduce.pdf code_examples/fifo/rdata_wreduce.svg -pdf2svg code_examples/fifo/rdata_map_luts.pdf code_examples/fifo/rdata_map_luts.svg -pdf2svg code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.svg -pdf2svg code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.svg +pdf2svg code_examples/fifo/rdata_alumacc.pdf code_examples/fifo/rdata_alumacc.svg +pdf2svg code_examples/fifo/rdata_proc.pdf code_examples/fifo/rdata_proc.svg +pdf2svg code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.svg +pdf2svg code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.svg +pdf2svg code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.svg +pdf2svg code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.svg +pdf2svg code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.svg +pdf2svg code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.svg +pdf2svg code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.svg +pdf2svg code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.svg +pdf2svg code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.svg +pdf2svg code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.svg +pdf2svg code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.svg +pdf2svg code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.svg +pdf2svg code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.svg +pdf2svg code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.svg +pdf2svg code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.svg +pdf2svg code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.svg +pdf2svg code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.svg +pdf2svg code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.svg +pdf2svg code_examples/fifo/rdata_map_cells.pdf code_examples/fifo/rdata_map_cells.svg make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/_images' make[4]: Leaving directory '/build/reproducible-path/yosys-0.52/docs' make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs' @@ -41247,10 +42531,10 @@ checking consistency... done preparing documents... done copying assets... -copying TeX support files... Writing evaluated template result to /build/reproducible-path/yosys-0.52/docs/build/latex/latexmkjarc +copying TeX support files... Writing evaluated template result to /build/reproducible-path/yosys-0.52/docs/build/latex/Makefile Writing evaluated template result to /build/reproducible-path/yosys-0.52/docs/build/latex/latexmkrc Writing evaluated template result to /build/reproducible-path/yosys-0.52/docs/build/latex/make.bat -Writing evaluated template result to /build/reproducible-path/yosys-0.52/docs/build/latex/Makefile +Writing evaluated template result to /build/reproducible-path/yosys-0.52/docs/build/latex/latexmkjarc done copying assets: done processing yosyshqyosys.tex... @@ -51050,11 +52334,11 @@ nput line 46980. + LaTeX Warning: Reference `cmd/debug:cmd-debug' on page 621 undefined on input l ine 46981. - LaTeX Warning: Reference `cmd/delete:cmd-delete' on page 621 undefined on input line 46982. @@ -51219,11 +52503,11 @@ on input line 47022. -LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 621 undefined -on input line 47023. +[621] +LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 622 undefined +on input line 47023. -[621] LaTeX Warning: Reference `cmd/fsm_export:cmd-fsm_export' on page 622 undefined on input line 47024. @@ -51437,11 +52721,11 @@ ut line 47076. + LaTeX Warning: Reference `cmd/nx_carry:cmd-nx_carry' on page 622 undefined on i nput line 47077. - LaTeX Warning: Reference `cmd/onehot:cmd-onehot' on page 622 undefined on input line 47078. @@ -51650,9 +52934,6 @@ ' on page 622 undefined on input line 47129. -Underfull \hbox (badness 10000) in paragraph at lines 47129--47130 -[]\T1/lmtt/m/n/10 read_verilog_file_list - LaTeX Warning: Reference `cmd/read_xaiger2:cmd-read_xaiger2' on page 622 undefi ned on input line 47130. @@ -51964,23 +53245,14 @@ page 623 undefined on input line 47206. -Underfull \hbox (badness 10000) in paragraph at lines 47206--47207 -[]\T1/lmtt/m/n/10 write_functional_cxx - LaTeX Warning: Reference `cmd/write_functional_rosette:cmd-write_functional_ros ette' on page 623 undefined on input line 47207. -Underfull \hbox (badness 10000) in paragraph at lines 47207--47208 -[]\T1/lmtt/m/n/10 write_functional_rosette - LaTeX Warning: Reference `cmd/write_functional_smt2:cmd-write_functional_smt2' on page 623 undefined on input line 47208. -Underfull \hbox (badness 10000) in paragraph at lines 47208--47209 -[]\T1/lmtt/m/n/10 write_functional_smt2 - LaTeX Warning: Reference `cmd/write_intersynth:cmd-write_intersynth' on page 62 3 undefined on input line 47209. @@ -52220,11 +53492,11 @@ nput line 47272. - LaTeX Warning: Reference `cmd/debug:cmd-debug' on page 625 undefined on input l ine 47273. + LaTeX Warning: Reference `cmd/delete:cmd-delete' on page 625 undefined on input line 47274. @@ -52389,12 +53661,12 @@ on input line 47314. -[625] - -LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 626 undefined +LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 625 undefined on input line 47315. +[625] + LaTeX Warning: Reference `cmd/fsm_export:cmd-fsm_export' on page 626 undefined on input line 47316. @@ -52607,11 +53879,11 @@ ut line 47368. - LaTeX Warning: Reference `cmd/nx_carry:cmd-nx_carry' on page 626 undefined on i nput line 47369. + LaTeX Warning: Reference `cmd/onehot:cmd-onehot' on page 626 undefined on input line 47370. @@ -52820,6 +54092,9 @@ ' on page 626 undefined on input line 47421. +Underfull \hbox (badness 10000) in paragraph at lines 47421--47422 +[]\T1/lmtt/m/n/10 read_verilog_file_list + LaTeX Warning: Reference `cmd/read_xaiger2:cmd-read_xaiger2' on page 626 undefi ned on input line 47422. @@ -53131,14 +54406,23 @@ page 627 undefined on input line 47498. +Underfull \hbox (badness 10000) in paragraph at lines 47498--47499 +[]\T1/lmtt/m/n/10 write_functional_cxx + LaTeX Warning: Reference `cmd/write_functional_rosette:cmd-write_functional_ros ette' on page 627 undefined on input line 47499. +Underfull \hbox (badness 10000) in paragraph at lines 47499--47500 +[]\T1/lmtt/m/n/10 write_functional_rosette + LaTeX Warning: Reference `cmd/write_functional_smt2:cmd-write_functional_smt2' on page 627 undefined on input line 47500. +Underfull \hbox (badness 10000) in paragraph at lines 47500--47501 +[]\T1/lmtt/m/n/10 write_functional_smt2 + LaTeX Warning: Reference `cmd/write_intersynth:cmd-write_intersynth' on page 62 7 undefined on input line 47501. @@ -53244,7 +54528,7 @@ .pfb> -Output written on yosyshqyosys.pdf (631 pages, 2893049 bytes). +Output written on yosyshqyosys.pdf (631 pages, 2893039 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' @@ -55515,27 +56799,27 @@ [621] -Underfull \hbox (badness 10000) in paragraph at lines 47129--47130 -[]\T1/lmtt/m/n/10 read_verilog_file_list - [622] -Underfull \hbox (badness 10000) in paragraph at lines 47206--47207 -[]\T1/lmtt/m/n/10 write_functional_cxx - -Underfull \hbox (badness 10000) in paragraph at lines 47207--47208 -[]\T1/lmtt/m/n/10 write_functional_rosette - -Underfull \hbox (badness 10000) in paragraph at lines 47208--47209 -[]\T1/lmtt/m/n/10 write_functional_smt2 - [623] [624] [625] +Underfull \hbox (badness 10000) in paragraph at lines 47421--47422 +[]\T1/lmtt/m/n/10 read_verilog_file_list + [626] +Underfull \hbox (badness 10000) in paragraph at lines 47498--47499 +[]\T1/lmtt/m/n/10 write_functional_cxx + +Underfull \hbox (badness 10000) in paragraph at lines 47499--47500 +[]\T1/lmtt/m/n/10 write_functional_rosette + +Underfull \hbox (badness 10000) in paragraph at lines 47500--47501 +[]\T1/lmtt/m/n/10 write_functional_smt2 + [627] (./yosyshqyosys.ind) (./yosyshqyosys.aux) ) (see the transcript file for additional information) -Output written on yosyshqyosys.pdf (639 pages, 3060948 bytes). +Output written on yosyshqyosys.pdf (639 pages, 3060906 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' @@ -57801,27 +59085,27 @@ [621] -Underfull \hbox (badness 10000) in paragraph at lines 47129--47130 -[]\T1/lmtt/m/n/10 read_verilog_file_list - [622] -Underfull \hbox (badness 10000) in paragraph at lines 47206--47207 -[]\T1/lmtt/m/n/10 write_functional_cxx - -Underfull \hbox (badness 10000) in paragraph at lines 47207--47208 -[]\T1/lmtt/m/n/10 write_functional_rosette - -Underfull \hbox (badness 10000) in paragraph at lines 47208--47209 -[]\T1/lmtt/m/n/10 write_functional_smt2 - [623] [624] [625] +Underfull \hbox (badness 10000) in paragraph at lines 47421--47422 +[]\T1/lmtt/m/n/10 read_verilog_file_list + [626] +Underfull \hbox (badness 10000) in paragraph at lines 47498--47499 +[]\T1/lmtt/m/n/10 write_functional_cxx + +Underfull \hbox (badness 10000) in paragraph at lines 47499--47500 +[]\T1/lmtt/m/n/10 write_functional_rosette + +Underfull \hbox (badness 10000) in paragraph at lines 47500--47501 +[]\T1/lmtt/m/n/10 write_functional_smt2 + [627] (./yosyshqyosys.ind) (./yosyshqyosys.aux) ) (see the transcript file for additional information) -Output written on yosyshqyosys.pdf (639 pages, 3060948 bytes). +Output written on yosyshqyosys.pdf (639 pages, 3060906 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' @@ -57861,44 +59145,20 @@ debian/rules override_dh_auto_test-arch make[1]: Entering directory '/build/reproducible-path/yosys-0.52' dh_auto_test -- PATH="$PWD:$PATH" - make -j12 test PATH=/build/reproducible-path/yosys-0.52:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games + make -j16 test PATH=/build/reproducible-path/yosys-0.52:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path make[2]: Entering directory '/build/reproducible-path/yosys-0.52' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: make -C tests/arch/anlogic -f run-test.mk make -C tests/arch/ecp5 -f run-test.mk -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/anlogic' make -C tests/arch/efinix -f run-test.mk -make -C tests/arch/gatemate -f run-test.mk +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/anlogic' make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/ecp5' -make -C tests/arch/gowin -f run-test.mk +make -C tests/arch/gatemate -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/efinix' +make -C tests/arch/gowin -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/gatemate' make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/gowin' -Passed efinix-add_sub.ys -Passed anlogic-counter.ys -Passed anlogic-logic.ys -Passed gowin-add_sub.ys -Passed anlogic-add_sub.ys -Passed ecp5-add_sub.ys -Passed efinix-adffs.ys -Passed anlogic-dffs.ys -Passed efinix-counter.ys -Passed anlogic-fsm.ys -Passed anlogic-shifter.ys -Passed efinix-dffs.ys -Passed gatemate-add_sub.ys -Passed anlogic-lutram.ys -Passed anlogic-tribuf.ys -Passed anlogic-latches.ys -Passed ecp5-bug1459.ys -Passed efinix-logic.ys -Passed efinix-lutram.ys -Passed efinix-latches.ys -Passed efinix-fsm.ys -Passed efinix-shifter.ys -Passed efinix-tribuf.ys -Passed ecp5-bug1630.ys Warning: Literal has a width of 16 bit, but value requires 184 bit. (< Y[0] wire \ripple [0] source: < DATA[1] @@ -58631,6 +60171,7 @@ cell $memrd$\mem$< DATA[0] wire \y1 [0] source: < RD_DATA[1] @@ -58707,7 +60248,28 @@ wire \y1 [0] source: < Y[0] @@ -58719,171 +60281,41 @@ Passed various-check_4.ys Warning: wire '\a_q' is assigned in a block at < ok Passed verilog-conflict_wire_memory.ys +Passed various-deminout_unused.ys +Passed various-design.ys +Passed techmap-dffunmap.ys +ERROR: No saved design 'foo' found! +Expected error pattern 'No saved design 'foo' found!' found !!! +Warning: Wire top.\t is used but has no driver. +Warning: Wire top.\in is used but has no driver. +Passed various-design1.ys +Passed techmap-extractinv.ys Passed verilog-const_arst.ys +ERROR: No saved design 'foo' found! +Expected error pattern 'No saved design 'foo' found!' found !!! +Warning: Yosys has only limited support for tri-state logic at the moment. (< ok Passed verilog-func_arg_mismatch_1.ys < ok -Passed xilinx-abc9_dff.ys -Passed techmap-dfflegalize_dff_init.ys -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff1 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff2 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff3 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff4 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff1 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff2 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff3 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff0 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff0 -Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff4 -Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff3 -Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff2 -Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff1 -Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff0 -Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff3 -Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff2 -Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff1 -Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff0 -Passed techmap-dfflegalize_dffsr.ys -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff1 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff2 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff3 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff4 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff1 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff2 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff3 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff4 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff1 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff2 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff3 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff1 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff2 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff3 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff0 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff0 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff0 -Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff0 -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff1 [$_DFFSRE_PPPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff2 [$_DFFSRE_PPNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff3 [$_DFFSRE_PNPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff4 [$_DFFSRE_NPPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff1 [$_DFFSR_PPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff2 [$_DFFSR_PNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff3 [$_DFFSR_NPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff0 [$_DFFSRE_PPPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff0 [$_DFFSR_PPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff1 [$_DFFSRE_PPPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff2 [$_DFFSRE_PPNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff3 [$_DFFSRE_PNPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff4 [$_DFFSRE_NPPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff1 [$_DFFSR_PPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff2 [$_DFFSR_PNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff3 [$_DFFSR_NPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff0 [$_DFFSRE_PPPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff0 [$_DFFSR_PPP_]. -Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff4 -Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff3 -Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff2 -Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff1 -Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff0 -Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff4 -Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff3 -Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff2 -Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff1 -Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff0 -Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff3 -Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff2 -Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff1 -Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff0 -Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff3 -Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff2 -Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff1 -Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff0 -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff4 [$_DFFSRE_NPPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff3 [$_DFFSRE_PNPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff2 [$_DFFSRE_PPNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff1 [$_DFFSRE_PPPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff0 [$_DFFSRE_PPPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff3 [$_DFFSR_NPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff2 [$_DFFSR_PNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff1 [$_DFFSR_PPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff0 [$_DFFSR_PPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff4 [$_DFFSRE_NPPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff3 [$_DFFSRE_PNPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff2 [$_DFFSRE_PPNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff1 [$_DFFSRE_PPPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff0 [$_DFFSRE_PPPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff3 [$_DFFSR_NPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff2 [$_DFFSR_PNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff1 [$_DFFSR_PPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff0 [$_DFFSR_PPP_]. -Passed techmap-dfflegalize_dffsr_init.ys -Passed techmap-dfflegalize_dlatch.ys -Passed techmap-dfflegalize_dlatch_const.ys -Passed techmap-dfflegalize_dlatch_init.ys -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff3 -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff2 -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff1 -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff0 -Passed techmap-dfflegalize_dlatchsr.ys -Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff1 -Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff2 -Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff3 -Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff1 -Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff2 -Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff3 -Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff0 -Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff0 -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff1 [$_DLATCHSR_PPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff2 [$_DLATCHSR_PNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff3 [$_DLATCHSR_NPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff0 [$_DLATCHSR_PPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff1 [$_DLATCHSR_PPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff2 [$_DLATCHSR_PNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff3 [$_DLATCHSR_NPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff0 [$_DLATCHSR_PPP_]. -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff3 -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff2 -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff1 -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff0 -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff3 -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff2 -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff1 -Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff0 -Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff3 [$_DLATCHSR_NPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff2 [$_DLATCHSR_PNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff1 [$_DLATCHSR_PPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff0 [$_DLATCHSR_PPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff3 [$_DLATCHSR_NPP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff2 [$_DLATCHSR_PNP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff1 [$_DLATCHSR_PPN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff0 [$_DLATCHSR_PPP_]. -Passed techmap-dfflegalize_dlatchsr_init.ys -Passed nexus-fsm.ys -Test: issue00335 -> ok -Passed techmap-dfflegalize_inv.ys -Passed techmap-dfflegalize_mince.ys -Passed techmap-dfflegalize_minsrst.ys -Passed techmap-dfflegalize_sr.ys -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff1 [$_SR_PN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff2 [$_SR_NP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff0 [$_SR_PP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff1 [$_SR_PN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff2 [$_SR_NP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff0 [$_SR_PP_]. -Warning: Shift register inference not yet supported for family xc3s. -Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff2 [$_SR_NP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff1 [$_SR_PN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff0 [$_SR_PP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff2 [$_SR_NP_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff1 [$_SR_PN_]. -Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff0 [$_SR_PP_]. -Passed techmap-dfflegalize_sr_init.ys -Warning: Complex async reset for dff `\Q'. -Passed techmap-dfflibmap.ys -Passed techmap-dffunmap.ys -Passed techmap-extractinv.ys -Warning: Yosys has only limited support for tri-state logic at the moment. (< ok +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits. Passed techmap-han-carlson.tcl +Test: firrtl_938 -> ok +Test: amber23_sram_byte_en -> ok Passed techmap-kogge-stone.tcl Passed techmap-sklansky.tcl -Test: no_implicit_en -> ok -Passed xilinx-add_sub.ys -Passed techmap-mem_simple_4x1_runtest.sh -Passed techmap-recursive_runtest.sh -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/techmap' -...passed tests in tests/techmap cd tests/aiger && bash run-test.sh "" "" Checking and_.aag. Checking and_to_bad_out.aag. Checking buffer.aag. Checking cnt1.aag. -Passed nexus-logic.ys Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking cnt1e.aag. +Passed techmap-mem_simple_4x1_runtest.sh +Passed xilinx-bug1605.ys +Passed techmap-recursive_runtest.sh +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/techmap' +...passed tests in tests/techmap +< ok +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Checking inverter.aag. Checking notcnt1.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking notcnt1e.aag. +Warning: Complex async reset for dff `\Q'. Checking or_.aag. +Passed ecp5-memories.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/ecp5' +...passed tests in tests/arch/ecp5 +elab_sys_tasks.sv:8: Warning: X is 1. +elab_sys_tasks.sv:22: Warning: +Passed various-elab_sys_tasks.ys Checking symbols.aag. +Passed verilog-genblk_case.ys +cd tests/alumacc && bash run-test.sh "" "" +Running basic.ys.. Checking toggle-re.aag. -Test: read_arst -> ok Checking toggle.aag. +Running macc_b_port_compat.ys.. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. +Running macc_infer_n_unmap.ys.. +Test: issue00710 -> ok Checking true.aag. +...passed tests in tests/alumacc Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking and_.aig. @@ -59202,51 +60554,50 @@ to add a dummy PI to make sure all commands work correctly. Checking notcnt1e.aig. Checking or_.aig. -Test: read_two_mux -> ok +Test: issue00335 -> ok Checking symbols.aig. Checking toggle-re.aig. Checking toggle.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. +Passed xilinx-counter.ys Checking true.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Running neg.ys. ...passed tests in tests/aiger -cd tests/alumacc && bash run-test.sh "" "" -Running basic.ys.. -Running macc_b_port_compat.ys.. -Running macc_infer_n_unmap.ys.. -...passed tests in tests/alumacc +Passed various-equiv_make_make_assert.ys +Test: no_implicit_en -> ok +Test: read_arst -> ok +< ok -Warning: Resizing cell port TB.uut.address_in_w from 11 bits to 10 bits. -Warning: Resizing cell port TB.uut.data_in from 18 bits to 36 bits. +Test: read_two_mux -> ok Test: arrays02 -> ok +Test: shared_ports -> ok +Test: arrays03 -> ok Test: simple_sram_byte_en -> ok +Test: trans_addr_enable -> ok +Test: asgn_binop -> ok +Test: trans_sdp -> ok +Test: case_expr_extend -> ok +Test: case_expr_query -> ok Passed verilog-func_upto.ys -< ok Passed verilog-genfor_decl_no_sv.ys Passed verilog-genvar_loop_decl_1.ys Passed verilog-genvar_loop_decl_2.ys Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:13.12-13.21. Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:27.12-27.21. +Test: defvalue -> ok Passed verilog-genvar_loop_decl_3.ys < ok Passed verilog-int_types.ys < ok Passed verilog-mem_bounds.ys < ok Passed verilog-package_task_func.ys Passed verilog-param_int_types.ys Passed verilog-param_no_default.ys @@ -59308,17 +60660,21 @@ Passed verilog-port_int_types.ys Passed verilog-prefix.ys Warning: Module top contains RTLIL processes with sync rules. Such RTLIL processes can't always be mapped directly to Verilog always blocks. unintended changes in simulation behavior are possible! Use "proc" to convert processes to logic networks and registers. +Passed xilinx-dsp_abc9.ys +Test: wide_all -> ok +Test: lesser_size_cast -> ok Passed verilog-roundtrip_proc.ys Passed verilog-sign_array_query.ys -Test: trans_sdp -> ok +Test: local_loop_var -> ok Passed verilog-size_cast.ys Passed verilog-struct_access.ys < ok Passed verilog-typedef_const_shadow.ys +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Passed verilog-typedef_legacy_conflict.ys Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:17) Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:21) @@ -59328,7 +60684,6 @@ Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:38) Passed verilog-unbased_unsized.ys Warning: Resizing cell port top.pt.inp from 32 bits to 64 bits. -Passed nexus-lutram.ys Passed verilog-unbased_unsized_shift.ys Warning: Resizing cell port gate.pt4.out from 64 bits to 40 bits. Warning: Resizing cell port gate.pt3.out from 64 bits to 40 bits. @@ -59338,24 +60693,26 @@ Warning: Resizing cell port gold.pt3.out from 64 bits to 40 bits. Warning: Resizing cell port gold.pt2.out from 64 bits to 40 bits. Warning: Resizing cell port gold.pt1.out from 64 bits to 40 bits. -Test: case_expr_extend -> ok Passed verilog-unbased_unsized_tern.ys ERROR: Found `else outside of macro conditional branch! Expected error pattern 'Found `else outside of macro conditional branch!' found !!! Passed verilog-unmatched_else.ys -Test: case_expr_query -> ok ERROR: Found `elsif outside of macro conditional branch! Expected error pattern 'Found `elsif outside of macro conditional branch!' found !!! +Test: wide_read_async -> ok Passed verilog-unmatched_elsif.ys ERROR: Found `endif outside of macro conditional branch! Expected error pattern 'Found `endif outside of macro conditional branch!' found !!! Passed verilog-unmatched_endif.ys +Test: macro_arg_spaces -> ok ERROR: Found `endif outside of macro conditional branch! Expected error pattern 'Found `endif outside of macro conditional branch!' found !!! +cd tests/simple_abc9 && bash run-test.sh "" Passed verilog-unmatched_endif_2.ys < ok -Warning: Resizing cell port TB.uut.address_in_w from 10 bits to 8 bits. -Warning: Resizing cell port TB.uut.data_in from 8 bits to 32 bits. -Test: defvalue -> ok -Passed qlf_k6n10f-t_mem2.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Passed qlf_k6n10f-t_mem1.ys -Warning: Complex async reset for dff `\Q'. -Test: wide_all -> ok -Test: implicit_ports -> ok -Test: lesser_size_cast -> ok -Test: local_loop_var -> ok -Test: wide_read_async -> ok -Test: macro_arg_spaces -> ok -Passed qlf_k6n10f-t_mem3.ys -Test: matching_end_labels -> ok Test: wide_read_mixed -> ok +Passed ice40-memories.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/ice40' +...passed tests in tests/arch/ice40 +Passed various-equiv_opt_undef.ys +Test: matching_end_labels -> ok Test: memwr_port_connection -> ok -Passed qlf_k6n10f-t_mem4.ys -Test: wide_read_sync -> ok Test: unnamed_block_decl -> ok +Test: wide_read_sync -> ok Test: aes_kexp128 -> ok Test: always01 -> ok -Warning: found logic loop in module latch_002_gate: +Passed xilinx-dffs.ys +Test: wide_read_trans -> ok +Test: always02 -> ok +Test: always03 -> ok +Test: arraycells -> ok +Warning: Resizing cell port TB.uut.address_in_w from 11 bits to 10 bits. +Warning: Resizing cell port TB.uut.data_in from 18 bits to 36 bits. +Test: wide_thru_priority -> ok +Passed xilinx-dsp_fastfir.ys +cd tests/hana && bash run-test.sh "" +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/hana' +Test: abc9 -> ok +Test: aes_kexp128 -> ok +Test: always01 -> ok +Test: arrays01 -> ok +Test: always02 -> ok +Test: test_parse2synthtrans -> ok +Test: attrib01_module -> ok +Test: wide_write -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/memories' +Testing expectations for amber23_sram_byte_en.v ..Test: always03 -> ok + ok. +Testing expectations for implicit_en.v .. ok. +Testing expectations for issue00335.v .. ok. +Testing expectations for issue00710.v .. ok. +Testing expectations for no_implicit_en.v .. ok. +Testing expectations for read_arst.v ..Test: arraycells -> ok +Test: attrib02_port_decl -> ok + ok. +Testing expectations for read_two_mux.v .. ok. +Testing expectations for shared_ports.v .. ok. +Testing expectations for simple_sram_byte_en.v ..Test: arrays01 -> ok + ok. +Testing expectations for trans_addr_enable.v ..Test: test_parser -> ok + ok. +Testing expectations for trans_sdp.v .. ok. +Testing expectations for trans_sp.v ..Warning: found logic loop in module latch_002_gate: cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[0] --> Q[0] wire \dword [0] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 @@ -59908,97 +61289,70 @@ A[63] --> Y[63] cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[63] --> Y[63] -Passed xilinx-adffs.ys -Test: wide_read_trans -> ok -Test: wide_thru_priority -> ok -Warning: Resizing cell port TB.uut.data_out from 18 bits to 36 bits. -Warning: Resizing cell port TB.uut.address_in_r from 11 bits to 10 bits. -Test: always02 -> ok -Test: always03 -> ok -Test: arraycells -> ok -Test: arrays01 -> ok -Test: wide_write -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/memories' -Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [17] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [16] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [15] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [14] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [13] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [12] is used but has no driver. -Testing expectations for amber23_sram_byte_en.v ..Passed qlf_k6n10f-t_mem0.ys -Test: attrib01_module -> ok -Test: attrib02_port_decl -> ok -Passed qlf_k6n10f-t_mem5.ys - ok. -Testing expectations for implicit_en.v .. ok. -Testing expectations for issue00335.v ..Test: attrib03_parameter -> ok -Test: attrib04_net_var -> ok -Test: attrib08_mod_inst -> ok - ok. -Testing expectations for issue00710.v ..Test: attrib06_operator_suffix -> ok - ok. -Testing expectations for no_implicit_en.v ..Test: attrib09_case -> ok - ok. -Testing expectations for read_arst.v ..Test: carryadd -> ok -Test: case_expr_non_const -> ok -Test: case_expr_const -> ok ok. -Testing expectations for read_two_mux.v ..Test: const_branch_finish -> ok -Passed various-dynamic_part_select.ys -elab_sys_tasks.sv:8: Warning: X is 1. -elab_sys_tasks.sv:22: Warning: -Passed various-elab_sys_tasks.ys - ok. -Testing expectations for shared_ports.v ..Passed various-equiv_make_make_assert.ys -Test: const_fold_func -> ok -Warning: Yosys has only limited support for tri-state logic at the moment. (/build/reproducible-path/yosys-0.52/share/simcells.v:476) +Testing expectations for wide_all.v .. ok. +Testing expectations for wide_read_async.v ..Test: attrib01_module -> ok +Test: attrib03_parameter -> ok ok. -Testing expectations for simple_sram_byte_en.v ..Passed various-equiv_opt_multiclock.ys -Passed various-equiv_opt_undef.ys -Test: constpower -> ok +Testing expectations for wide_read_mixed.v .. ok. +Testing expectations for wide_read_sync.v ..Passed xilinx-blockram.ys ERROR: Command stdout did have a line matching given regex "giraffe". Expected error pattern 'stdout did have a line' found !!! Passed various-exec.ys +cd tests/asicworld && bash run-test.sh "" +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/asicworld' ok. -Testing expectations for trans_addr_enable.v ..Passed various-fib.ys -Test: const_func_shadow -> ok -Passed various-fib_tern.ys -Passed various-func_port_implied_dir.ys +Testing expectations for wide_read_trans.v .. ok. +Testing expectations for wide_thru_priority.v ..Test: attrib02_port_decl -> ok + ok. +Testing expectations for wide_write.v ..Test: attrib04_net_var -> ok ok. -Testing expectations for trans_sdp.v ..Passed various-gen_if_null.ys +...passed tests in tests/memories +Test: code_hdl_models_GrayCounter -> ok +Test: attrib03_parameter -> ok +Test: code_hdl_models_arbiter -> ok +Test: attrib06_operator_suffix -> ok +Test: attrib04_net_var -> ok +Test: test_intermout -> ok +Passed various-dynamic_part_select.ys +Test: attrib08_mod_inst -> ok +Passed various-fib.ys +Passed qlf_k6n10f-t_mem2.ys +Passed various-func_port_implied_dir.ys +Passed various-fib_tern.ys +Passed various-gen_if_null.ys Passed various-global_scope.ys +Test: test_simulation_always -> ok +Passed qlf_k6n10f-t_mem4.ys +Test: attrib06_operator_suffix -> ok Passed various-gzip_verilog.ys - ok. -Testing expectations for trans_sp.v ..Passed various-help.ys +Passed various-help.ys Passed various-hierarchy_defer.ys Passed various-hierarchy_generate.ys Passed various-hierarchy_param.ys - ok. -Testing expectations for wide_all.v ..Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEA from 4 bits to 2 bits. - ok. -Testing expectations for wide_read_async.v ..Test: dff_init -> ok - ok. -Testing expectations for wide_read_mixed.v ..Passed various-ice40_mince_abc9.ys +Test: code_hdl_models_clk_div -> ok < ok +Test: attrib09_case -> ok +Warning: Resizing cell port TB.uut.address_in_w from 10 bits to 8 bits. +Warning: Resizing cell port TB.uut.data_in from 8 bits to 32 bits. +Test: code_hdl_models_clk_div_45 -> ok +Test: attrib09_case -> ok +Passed various-ice40_mince_abc9.ys < ok attribute \src "\" / \\ \010 \014 \n \015 \t \025 \033" +Passed qlf_k6n10f-t_mem3.ys Passed various-json_escape_chars.ys -Test: constmuldivmod -> ok Warning: Resizing cell port top.u3.out from 1 bits to 2 bits. -Test: dff_different_styles -> ok Passed various-json_scopeinfo.ys +Test: test_simulation_and -> ok Passed various-keep_hierarchy.ys - ok. -Testing expectations for wide_read_sync.v ..ERROR: Identifier `\b' is implicitly declared. +ERROR: Identifier `\b' is implicitly declared. Expected error pattern 'is implicitly declared.' found !!! Passed various-logger_error.ys Passed various-logger_nowarning.ys @@ -60013,34 +61367,59 @@ < ok Passed various-mem2reg.ys Passed various-memory_word_as_index.ys +Test: case_expr_const -> ok Warning: Yosys has only limited support for tri-state logic at the moment. (/build/reproducible-path/yosys-0.52/share/simcells.v:476) -Test: forgen01 -> ok - ok. -Testing expectations for wide_thru_priority.v ..Test: forgen02 -> ok - ok. -Testing expectations for wide_write.v .. ok. -...passed tests in tests/memories -cd tests/simple_abc9 && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/simple_abc9' -Test: dynslice -> ok -Test: forloops -> ok +Test: carryadd -> ok +Test: test_simulation_buffer -> ok +Test: case_expr_const -> ok +Test: case_expr_non_const -> ok +Test: case_expr_non_const -> ok Passed various-muxcover.ys -Test: func_recurse -> ok -Test: fsm -> ok -Test: func_block -> ok -Passed various-muxpack.ys +Passed qlf_k6n10f-t_mem1.ys Passed various-param_struct.ys -Test: genblk_collide -> ok -Test: genblk_order -> ok -Passed various-peepopt.ys -Test: genblk_dive -> ok -Test: func_width_scope -> ok -Passed various-peepopt_formal.ys -Passed various-pmux2shiftx.ys +cd tests/share && bash run-test.sh "" +generating tests.. +running tests.. +[0][1]Passed xilinx-dsp_simd.ys +[2][3][4][5][6]Passed various-muxpack.ys +[7][8][9]Test: test_simulation_decoder -> ok +[10]Passed various-peepopt.ys +[11]Passed various-peepopt_formal.ys +Test: code_hdl_models_d_ff_gates -> ok +[12][13][14][15][16][17][18][19][20][21][22]Test: const_branch_finish -> ok +[23][24][25][26]Test: const_branch_finish -> ok +cd tests/opt_share && bash run-test.sh "" +[27]generating tests.. +[28][29][30][31][32][33]Warning: Shift register inference not yet supported for family xc3se. +[34]running tests.. +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/opt_share' +[35][0][1][36]Test: test_simulation_inc -> ok +[37][2][38][3]Passed qlf_k6n10f-t_mem5.ys +[39][4][40][5][41][6][7]Passed xilinx-logic.ys +[8][9]Passed various-pmux2shiftx.ys +cd tests/fsm && bash run-test.sh "" +generating tests.. +[10][11]PRNG seed: 2583435230883647295 +[12]running tests.. +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/fsm' +[0][13][14][42][15][43]Test: code_hdl_models_cam -> ok +[16][44][17][45][18][46][47][19][48][20][49][50][21][51][22][52][23][53][54][55][56][57][58][59]K[1][60][61][62][63][64][65][66][67][68][69][70][71][24][72]Test: code_hdl_models_d_latch_gates -> ok +[25][73][26][74]Warning: Resizing cell port TB.uut.data_out from 18 bits to 36 bits. +Warning: Resizing cell port TB.uut.address_in_r from 11 bits to 10 bits. +[75][27][28][29][76][30][77][31][78]Test: test_simulation_mux -> ok +[32][79][33][80][34][81][35][82][36][83][84][85][86][87]Test: code_hdl_models_decoder_2to4_gates -> ok +[88][89][90][91][92][93][94][95]Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [17] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [16] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [15] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [14] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [13] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$141084 [12] is used but has no driver. +[96]Passed qlf_k6n10f-t_mem0.ys +cd tests/memlib && bash run-test.sh "" +[97][98][99]Passed various-pmgen_reduce.ys + Warning: Resizing cell port act.ou2.out from 3 bits to 2 bits. Warning: Resizing cell port act.os2.out from 3 bits to 2 bits. Warning: Resizing cell port act.ou1.out from 3 bits to 1 bits. @@ -60052,32 +61431,11 @@ Warning: Resizing cell port act.pt4.a from 1 bits to 4 bits. Warning: Resizing cell port act.pt3.a from 1 bits to 4 bits. Warning: Resizing cell port act.pt2.a from 1 bits to 4 bits. -Test: genblk_port_shadow -> ok +...passed tests in tests/share +Test: test_simulation_nand -> ok +Test: code_hdl_models_decoder_using_assign -> ok Passed various-port_sign_extend.ys Passed various-primitives.ys -Test: graphtest -> ok -Test: hierarchy -> ok -Passed nexus-mul.ys -Test: hierdefparam -> ok -Test: ifdef_1 -> ok -Test: i2c_master_tests -> ok -Test: ifdef_2 -> ok -Test: localparam_attr -> ok -Test: case_large -> ok -Test: loop_prefix_case -> ok -Test: loop_var_shadow -> ok -Test: abc9 -> ok -Test: macro_arg_surrounding_spaces -> ok -Test: aes_kexp128 -> ok -Test: loops -> ok -Test: macros -> ok -Test: generate -> ok -Test: always01 -> ok -Passed nexus-mux.ys -Test: always02 -> ok -Test: mem2reg_bounds_tern -> ok -Test: always03 -> ok -Passed various-pmgen_reduce.ys Passed various-printattr.ys Passed various-rand_const.ys Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26.9-26.21. @@ -60093,9 +61451,7 @@ Passed various-reg_wire_error.ys Passed various-rename_scramble_name.ys Passed various-rtlil_signed_attribute.ys -Test: arraycells -> ok -Test: arrays01 -> ok -Passed nexus-shifter.ys +Passed xilinx-fsm.ys Passed various-rtlil_z_bits.ys Passed various-scopeinfo.ys Passed various-scratchpad.ys @@ -60240,7 +61596,6 @@ } } } -Test: module_scope -> ok Passed various-setundef.ys Passed various-sformatf.ys Passed various-shregmap.ys @@ -60249,11 +61604,8 @@ Passed various-signed.ys Warning: Yosys has only limited support for tri-state logic at the moment. (< ok -Test: attrib01_module -> ok specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_MIN = 1.500000 with string. specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_TYP = 1.500000 with string. specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_MAX = 1.500000 with string. @@ -60266,327 +61618,265 @@ specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_MIN = 1.500000 with string. specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_TYP = 1.500000 with string. specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_MAX = 1.500000 with string. -Test: attrib02_port_decl -> ok Warning: No SAT model available for cell B_0 ($specrule). Warning: No SAT model available for cell C_0 ($specrule). Warning: No SAT model available for cell A_0 ($specify3). Warning: No SAT model available for cell A_0 ($specify2). Warning: No SAT model available for cell B_0 ($specify2). -Test: attrib03_parameter -> ok Passed various-specify.ys Warning: wire '\o' is assigned in a block at < ok Passed various-src.ys Warning: Critical-path does not terminate in a recognised endpoint. Warning: Cell type 'const0' not recognised! Ignoring. Passed various-sta.ys -Test: module_scope_case -> ok Passed various-stat.ys Passed various-struct_access.ys Warning: Port directions for cell \s1 (\DFF) are unknown. Assuming inout for all ports. Warning: Port directions for cell \s2 (\DFF) are unknown. Assuming inout for all ports. Warning: Port directions for cell \s3 (\DFF) are unknown. Assuming inout for all ports. Passed various-submod.ys -Passed nexus-tribuf.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/nexus' -Test: attrib04_net_var -> ok -...passed tests in tests/arch/nexus -cd tests/hana && bash run-test.sh "" Passed various-submod_extract.ys -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/hana' -Test: named_genblk -> ok Passed various-sv_defines.ys ERROR: Duplicate macro arguments with name `x'. Expected error pattern 'Duplicate macro arguments with name `x'' found !!! -Test: nested_genblk_resolve -> ok Passed various-sv_defines_dup.ys ERROR: Mismatched brackets in macro argument: [ and }. Expected error pattern 'Mismatched brackets in macro argument: \[ and }.' found !!! Passed various-sv_defines_mismatch.ys ERROR: Cannot expand macro `foo by giving only 1 argument (argument 2 has no default). Expected error pattern 'Cannot expand macro `foo by giving only 1 argument \(argument 2 has no default\).' found !!! -Test: module_scope_func -> ok Passed various-sv_defines_too_few.ys Passed various-tcl_apis.ys +Test: code_hdl_models_decoder_using_case -> ok +Test: const_fold_func -> ok Passed various-wrapcell.ys Passed various-wreduce.ys Passed various-wreduce2.ys Passed various-write_gzip.ys Passed various-xaiger.ys -Test: omsp_dbg_uart -> ok -Test: attrib06_operator_suffix -> ok -Test: muxtree -> ok -Test: param_attr -> ok -Passed various-async.sh -Test: multiplier -> ok -Test: attrib08_mod_inst -> ok +Test: test_simulation_nor -> ok +[2]Test: test_simulation_or -> ok +Test: test_simulation_seq -> ok +Test: case_large -> ok +Test: code_hdl_models_dff_async_reset -> ok +KPassed various-async.sh Passed various-chparam.sh Passed various-clk2fflogic_effects.sh Passed various-hierarchy.sh Passed various-logger_cmd_error.sh Passed various-logger_fail.sh -Test: attrib09_case -> ok -Test: realexpr -> ok -Test: paramods -> ok -Test: carryadd -> ok -Test: process -> ok -Test: retime -> ok -Test: repwhile -> ok -Test: case_expr_const -> ok -Test: case_expr_non_const -> ok -Test: scopes -> ok -Test: test_parse2synthtrans -> ok -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.WEA from 4 bits to 2 bits. -Warning: Selection "asym_ram_sdp_read_wider" did not match any module. -Test: sign_part_assign -> ok -Passed various-plugin.sh -Test: test_parser -> ok -Test: test_intermout -> ok -Passed various-sv_implicit_ports.sh -Passed various-svalways.sh -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/various' -...passed tests in tests/various -cd tests/asicworld && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/asicworld' -Test: operators -> ok -Test: signed_full_slice -> ok -Test: code_hdl_models_GrayCounter -> ok -Test: signedexpr -> ok -Test: memory -> ok -Test: test_simulation_and -> ok -Test: specify -> ok -Test: code_hdl_models_arbiter -> ok -Test: string_format -> ok -Test: test_simulation_buffer -> ok -Test: test_simulation_always -> ok -Test: code_hdl_models_clk_div -> ok -Test: subbytes -> ok -Test: code_hdl_models_d_ff_gates -> ok -Test: code_hdl_models_clk_div_45 -> ok -Test: sincos -> ok -Test: undef_eqx_nex -> ok -Test: code_hdl_models_decoder_2to4_gates -> ok -Test: code_hdl_models_d_latch_gates -> ok -Test: test_simulation_decoder -> ok -Test: usb_phy_tests -> ok -Test: code_hdl_models_decoder_using_assign -> ok -Test: code_hdl_models_decoder_using_case -> ok -Test: task_func -> ok -Test: code_hdl_models_dff_async_reset -> ok -Test: test_simulation_inc -> ok -Test: verilog_primitives -> ok Test: code_hdl_models_dff_sync_reset -> ok -Test: values -> ok Test: code_hdl_models_encoder_4to2_gates -> ok -Test: rotate -> ok -Test: code_hdl_models_encoder_using_case -> ok -Test: partsel -> ok -Test: code_hdl_models_encoder_using_if -> ok -Test: wandwor -> ok -Test: code_hdl_models_full_subtracter_gates -> ok -Test: code_hdl_models_full_adder_gates -> ok -Test: vloghammer -> ok -Test: test_simulation_mux -> ok -Test: wreduce -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/simple' -...passed tests in tests/simple -cd tests/share && bash run-test.sh "" -generating tests.. -Test: code_hdl_models_gray_counter -> ok +Test: const_fold_func -> ok +cd tests/bram && bash run-test.sh "" +[37]generating tests.. +[38]Test: code_hdl_models_encoder_using_case -> ok +PRNG seed: 586894 running tests.. -[0][1][2][3][4]Test: code_hdl_models_lfsr -> ok -[5]Test: code_hdl_models_half_adder_gates -> ok -Test: code_hdl_models_lfsr_updown -> ok -[6]Test: test_simulation_nand -> ok -[7][8][9][10][11][12][13][14]Test: code_hdl_models_mux_2to1_gates -> ok -Test: test_simulation_nor -> ok -[15][16][17]Test: code_hdl_models_mux_using_assign -> ok -[18][19]Test: code_hdl_models_one_hot_cnt -> ok -[20]Test: code_hdl_models_mux_using_case -> ok -[21][22][23][24]Test: code_hdl_models_mux_using_if -> ok -[25][26][27][28][29][30][31][32]Test: code_hdl_models_parallel_crc -> ok -Test: code_hdl_models_parity_using_assign -> ok -Test: code_hdl_models_cam -> ok -[33][34]Test: code_hdl_models_parity_using_bitwise -> ok -Test: code_hdl_models_parity_using_function -> ok -[35]Test: test_simulation_seq -> ok -[36][37][38][39][40][41][42][43][44][45]Test: test_simulation_or -> ok -[46][47]Test: code_hdl_models_tff_async_reset -> ok -[48]Test: code_hdl_models_serial_crc -> ok -[49]Test: code_hdl_models_rom_using_case -> ok -[50]Test: code_hdl_models_tff_sync_reset -> ok -[51][52]Test: code_hdl_models_pri_encoder_using_assign -> ok -cd tests/opt_share && bash run-test.sh "" -[53][54]generating tests.. -[55][56][57][58]Test: code_hdl_models_up_counter -> ok -[59][60][61][62]Test: code_hdl_models_up_counter_load -> ok -[63]running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/opt_share' -[0][64][1][65][2][3][4][66][67][5]Test: code_hdl_models_up_down_counter -> ok -[6][7][8][9][10]Test: test_simulation_sop -> ok -Test: code_tidbits_asyn_reset -> ok -[11][68][12][69][13][70][14][71][72][15][73][16]Test: code_tidbits_blocking -> ok -Test: code_specman_switch_fabric -> ok -[17][18]Test: code_hdl_models_uart -> ok -[19][20][21][22][23]Passed qlf_k6n10f-t_mem6.ys -[24]make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/quicklogic/qlf_k6n10f' +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/bram' +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/memlib' +Test: const_func_shadow -> ok +Test: code_hdl_models_encoder_using_if -> ok +Passed memory_bram test 00_01. +Test: const_func_shadow -> ok +Passed qlf_k6n10f-t_mem6.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/quicklogic/qlf_k6n10f' ...passed tests in tests/arch/quicklogic/qlf_k6n10f -cd tests/fsm && bash run-test.sh "" -generating tests.. -[25]PRNG seed: 695879666318564135 -[26][27]Test: code_tidbits_fsm_using_always -> ok -[28][29][30][31]running tests.. -Test: code_tidbits_fsm_using_function -> ok -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/fsm' -[0]Test: code_tidbits_nonblocking -> ok -[32]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -[33][34]K[1]Test: test_simulation_shifter -> ok +[3]Passed xilinx-latches.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_tidbits_fsm_using_single_always -> ok -Test: code_tidbits_reg_combo_example -> ok -[74][75][76]Test: code_tidbits_reg_seq_example -> ok -[77][78]Test: code_tidbits_syn_reset -> ok -[79]Passed xilinx-asym_ram_sdp.ys -Test: code_tidbits_wire_example -> ok -[80][81][82]Test: test_simulation_techmap -> ok -[83]KTest: code_verilog_tutorial_addbit -> ok -[2][84][85]Test: code_verilog_tutorial_always_example -> ok -[86][87]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +Test: code_hdl_models_full_adder_gates -> ok +Passed memory_bram test 00_02. +Test: test_simulation_shifter -> ok +KTest: code_hdl_models_full_subtracter_gates -> ok +Passed various-plugin.sh +Passed various-sv_implicit_ports.sh +Passed various-svalways.sh +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/various' +...passed tests in tests/various +Test: t_async_small -> ok +Passed memory_bram test 00_03. +Test: test_simulation_sop -> ok +Test: t_async_big -> ok +Test: code_hdl_models_gray_counter -> ok +[39]Test: code_hdl_models_half_adder_gates -> ok +[4]Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 +Test: code_hdl_models_lfsr -> ok +cd tests/svinterfaces && bash run-test.sh "" +Test: svinterface1 -> KTest: constmuldivmod -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -[88]Test: test_simulation_vlib -> ok -[89][90][91]Test: code_verilog_tutorial_bus_con -> ok -[92]Test: code_verilog_tutorial_comment -> ok -[93][94][95][96][97][98]Test: code_verilog_tutorial_counter -> ok -[99] -...passed tests in tests/share -cd tests/memlib && bash run-test.sh "" -Passed verilog-dynamic_range_lhs.sh -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/verilog' -Test: test_simulation_xnor -> ok -...passed tests in tests/verilog -Test: code_verilog_tutorial_d_ff -> ok -Test: test_simulation_xor -> ok -K[3]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +Passed memory_bram test 00_04. +Test: code_hdl_models_lfsr_updown -> ok +Test: constpower -> ok +svinterface1_tb.v:50: $finish called at 420000 (10ps) +svinterface1_tb.v:50: $finish called at 420000 (10ps) +ok +Test: svinterface_at_top -> Test: code_hdl_models_mux_2to1_gates -> ok +Passed memory_bram test 01_00. +Test: test_simulation_techmap -> ok +Passed xilinx-macc.ys +Test: code_hdl_models_mux_using_assign -> ok +svinterface_at_top_tb.v:61: $finish called at 420000 (10ps) +svinterface_at_top_tb_wrapper.v:61: $finish called at 420000 (10ps) +ERROR! +Test: load_and_derive ->ok +Test: resolve_types ->ok +...passed tests in tests/svinterfaces +K[5]K[6]Test: code_hdl_models_mux_using_case -> ok +Passed memory_bram test 01_02. +Test: constpower -> ok +Passed memory_bram test 01_03. +Test: dff_different_styles -> ok +Test: t_async_big_block -> ok +Test: code_hdl_models_mux_using_if -> ok +Test: t_async_small_block -> ok +Test: code_hdl_models_one_hot_cnt -> ok +Test: constmuldivmod -> ok +[40]Test: dff_init -> ok +K[7]Passed memory_bram test 01_04. +[8]Test: dff_different_styles -> ok +[9]Test: t_sync_big -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_verilog_tutorial_decoder -> ok -Test: code_verilog_tutorial_decoder_always -> ok -Test: code_verilog_tutorial_escape_id -> ok -K[4]Test: code_verilog_tutorial_explicit -> ok -Test: code_verilog_tutorial_first_counter -> ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_verilog_tutorial_flip_flop -> ok -Test: code_verilog_tutorial_good_code -> ok -Test: code_verilog_tutorial_fsm_full -> ok -cd tests/bram && bash run-test.sh "" -generating tests.. -PRNG seed: 531784 -Test: code_verilog_tutorial_if_else -> ok -running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/bram' -Test: code_verilog_tutorial_multiply -> ok -Test: code_verilog_tutorial_mux_21 -> ok +Passed memory_bram test 02_00. +Test: t_sync_big_sdp -> ok +Test: code_hdl_models_parallel_crc -> ok +Passed memory_bram test 02_01. +KTest: code_hdl_models_parity_using_assign -> ok +KPassed memory_bram test 02_03. +Test: code_hdl_models_parity_using_bitwise -> ok Test: test_simulation_techmap_tech -> ok +Test: forgen01 -> ok +Test: fiedler-cooley -> ok +Warning: Shift register inference not yet supported for family xc3se. +[41][42][43][44][45]Test: code_hdl_models_parity_using_function -> ok +[46]Test: dynslice -> ok +[47]Test: test_simulation_vlib -> ok +Passed memory_bram test 02_04. +[48]K[10]Passed memory_bram test 03_00. +Test: t_sync_big_lut -> ok +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. +Test: code_hdl_models_pri_encoder_using_assign -> ok +K[11]Test: forgen02 -> ok +Test: test_simulation_xnor -> ok +Test: dff_init -> ok +Test: t_sync_small -> ok +Test: code_hdl_models_rom_using_case -> ok +Test: t_sync_small_block -> ok +Passed memory_bram test 03_01. +Test: code_hdl_models_serial_crc -> ok +Test: test_simulation_xor -> ok make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/hana' ...passed tests in tests/hana -cd tests/svinterfaces && bash run-test.sh "" -Test: svinterface1 -> Test: code_verilog_tutorial_n_out_primitive -> ok -Test: code_verilog_tutorial_parallel_if -> ok -Test: code_verilog_tutorial_simple_if -> ok -Passed memory_bram test 00_01. -Test: code_verilog_tutorial_simple_function -> ok -Test: code_verilog_tutorial_parity -> ok -Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits. -Test: code_verilog_tutorial_task_global -> ok -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/memlib' -Test: code_verilog_tutorial_which_clock -> ok -Test: code_verilog_tutorial_tri_buf -> ok -svinterface1_tb.v:50: $finish called at 420000 (10ps) -svinterface1_tb.v:50: $finish called at 420000 (10ps) -ok -Test: svinterface_at_top -> Test: code_verilog_tutorial_v2k_reg -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/asicworld' -...passed tests in tests/asicworld +Test: forloops -> ok +Test: t_sync_small_block_attr -> ok +Passed xilinx-mul.ys cd tests/xprop && bash run-test.sh "" -Passed memory_bram test 00_03. -xprop PRNG seed: 3904050994 -Passed memory_bram test 00_02. -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/xprop' -Passed memory_bram test 01_02. -Passed memory_bram test 00_04. Test: case_large -> ok -svinterface_at_top_tb.v:61: $finish called at 420000 (10ps) -Passed memory_bram test 01_00. +Passed xilinx-opt_lut_ins.ys +xprop PRNG seed: 324254595 +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/xprop' +Test: code_hdl_models_tff_async_reset -> ok cd tests/select && bash run-test.sh "" Running blackboxes.ys.. -svinterface_at_top_tb_wrapper.v:61: $finish called at 420000 (10ps) -ERROR! -Test: load_and_derive ->Running list_mod.ys.. -ok +Test: t_init_lut_zeros_zero -> ok +Running list_mod.ys.. Running mod-attribute.ys.. -Test: resolve_types ->Running no_warn_assert.ys.. -ok +Running no_warn_assert.ys.. Running no_warn_prefixed_arg_memb.ys.. -Passed memory_bram test 01_03. -...passed tests in tests/svinterfaces Running no_warn_prefixed_empty_select_arg.ys.. -cd tests/proc && bash run-test.sh "" Running unset.ys.. ERROR: Selection '\foo' does not exist! Expected error pattern 'Selection '\\foo' does not exist!' found !!! Running unset2.ys.. -Running bug2619.ys.. ERROR: Selection @foo is not defined! Expected error pattern 'Selection @foo is not defined!' found !!! Running warn_empty_select_arg.ys.. Warning: Selection "foo" did not match any module. Warning: Selection "bar" did not match any object. ...passed tests in tests/select -cd tests/blif && bash run-test.sh "" +Test: t_init_lut_zeros_any -> ok +Test: code_hdl_models_tff_sync_reset -> ok +Test: fsm -> ok +Test: t_init_lut_val_zero -> ok +Passed memory_bram test 03_02. +[49][50]xprop_not_3s_5: ok +xprop_not_3s_5: ok +Test: t_init_lut_val_any -> ok +Passed memory_bram test 03_04. +[12]Test: func_block -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: t_init_lut_val_no_undef -> ok +Passed memory_bram test 04_00. +Test: func_recurse -> ok +xprop_pos_3s_5: ok +xprop_pos_3s_5: ok +Test: t_init_lut_val2_any -> ok +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. +KTest: code_hdl_models_uart -> ok +Test: t_init_lut_val2_no_undef -> ok +Passed memory_bram test 04_01. +Test: func_width_scope -> ok +Test: t_init_lut_x_none -> ok +xprop_neg_3s_5: ok +xprop_neg_3s_5: ok +Test: code_hdl_models_up_counter -> ok +Passed memory_bram test 04_02. +[51][52]Test: t_init_lut_x_zero -> ok +[53]Test: genblk_collide -> ok +[54][55]xprop_and_1u1_1: ok +xprop_and_1u1_1: ok +[56]Passed memory_bram test 04_03. +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/bram' +...passed tests in tests/bram +[57]K[13][58][59][60][61]Test: t_init_lut_x_any -> ok +[62][63][64][65][66]Passed xilinx-mul_unsigned.ys +[67]Test: code_hdl_models_up_counter_load -> ok +[68][69]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +[70][71][72][73][74][75][76]Test: genblk_dive -> ok +[77][78][79]Test: genblk_order -> ok +cd tests/proc && bash run-test.sh "" +Test: t_init_lut_x_no_undef -> ok +Running bug2619.ys.. Running bug2656.ys.. Warning: wire '\q1' is assigned in a block at < ok +Test: fiedler-cooley -> ok +Test: t_ram_18b2B -> ok +[14]Running bug_1268.ys.. +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: code_hdl_models_up_down_counter -> ok Running clean_undef_case.ys.. -Running syntax check on arch sim models Running proc_dff.ys.. Warning: Complex async reset for dff `\q'. -Test ../../techlibs/achronix/speedster22i/cells_sim.v -> ok -Test: const_branch_finish -> ok Running proc_rom.ys.. -Test ../../techlibs/anlogic/cells_sim.v -> ok -Test ../../techlibs/coolrunner2/cells_sim.v ->Warning: wire '\d' is assigned in a block at <Warning: wire '\d' is assigned in a block at <Warning: wire '\d' is assigned in a block at <Passed memory_bram test 01_04. +Test: t_ram_9b1B -> ok +xprop_and_2u2_2: ok +xprop_and_2u2_2: ok ...passed tests in tests/proc -Passed memory_bram test 02_01. +[81]Passed xilinx-nosrl.ys +KTest: t_ram_4b1B -> ok +Test: code_specman_switch_fabric -> ok +Test: code_tidbits_asyn_reset -> ok +K[15]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_or_1s1_2: ok +xprop_or_1s1_2: ok +K[16]Test: t_ram_2b1B -> ok +xprop_or_1u1_1: ok +xprop_or_1u1_1: ok +Test: code_tidbits_blocking -> ok +Passed xilinx-pmgen_xilinx_srl.ys +xprop_or_2u2_2: ok +xprop_or_2u2_2: ok +K[17]Passed xilinx-mux_lut4.ys +[18]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: graphtest -> ok +Test: t_ram_1b1B -> ok +[82]K[83]Test: t_init_9b1B_zeros_zero -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: dynslice -> ok +Test: generate -> ok +Passed xilinx-mux.ys +Warning: Resizing cell port priority_memory.mem.0.0.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.0.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.1.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.1.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.2.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.2.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.3.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.3.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.4.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.4.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.5.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.5.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.6.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.6.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.7.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.7.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.WEBWE from 4 bits to 8 bits. +Test: forgen01 -> ok +Test: code_tidbits_fsm_using_always -> ok +xprop_xor_1u1_1: ok +xprop_xor_1u1_1: ok +Test: t_init_9b1B_zeros_any -> ok +Test: forgen02 -> ok +Test: code_tidbits_fsm_using_function -> ok +Test: t_init_9b1B_val_zero -> ok +Test: t_init_9b1B_val_any -> ok +Test: hierarchy -> ok +cd tests/blif && bash run-test.sh "" +[84]Running bug2729.ys.. +Running bug3374.ys.. +ERROR: Syntax error in line 1! +Expected error pattern 'Syntax error in line 1!' found !!! +Running bug3385.ys.. +ERROR: Syntax error in line 4: names' input plane must have fewer than 13 signals. +Expected error pattern 'Syntax error in line 4: names' input plane must have fewer than 13 signals.' found !!! +...passed tests in tests/blif +Test: t_init_9b1B_val_no_undef -> ok +[19]Test: code_tidbits_nonblocking -> ok +Test: t_init_13b2B_val_any -> ok +Test: ifdef_1 -> ok +Test: forloops -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_xor_1s1_2: ok +xprop_xor_1s1_2: ok +[85]Test: code_tidbits_fsm_using_single_always -> ok +Test: t_init_18b2B_val_any -> ok +Test: hierdefparam -> ok +[86]Test: ifdef_2 -> ok +cd tests/arch && bash run-test.sh "" +Running syntax check on arch sim models +Test ../../techlibs/achronix/speedster22i/cells_sim.v ->Test: fsm -> ok + ok +Test ../../techlibs/anlogic/cells_sim.v ->Test: code_tidbits_reg_combo_example -> ok + ok +Test ../../techlibs/coolrunner2/cells_sim.v -> ok +Test ../../techlibs/ecp5/cells_sim.v -> ok +Test: localparam_attr -> ok +Test ../../techlibs/efinix/cells_sim.v -> ok +Test ../../techlibs/gatemate/cells_sim.v ->Test: i2c_master_tests -> ok + ok +Test ../../techlibs/gowin/cells_sim.v ->Test: t_init_18b2B_val_no_undef -> ok + ok +Test ../../techlibs/greenpak4/cells_sim.v -> ok +Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. + ok +Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. + ok +Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. +../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. + ok +xprop_xor_2u2_2: ok +Test ../../techlibs/intel/cycloneiv/cells_sim.v ->xprop_xor_2u2_2: ok + ok +Test ../../techlibs/intel/cycloneive/cells_sim.v ->Test: func_block -> ok + ok +Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok +Test ../../techlibs/intel/max10/cells_sim.v -> ok +Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> ok +Test: code_tidbits_reg_seq_example -> ok +Test ../../techlibs/microchip/cells_sim.v -> ok +Test ../../techlibs/nanoxplore/cells_sim.v -> ok +Test ../../techlibs/nexus/cells_sim.v ->KTest: t_init_4b1B_x_none -> ok + ok +Test ../../techlibs/quicklogic/pp3/cells_sim.v ->Test: code_tidbits_syn_reset -> ok + ok +Test: loop_prefix_case -> ok +Test ../../techlibs/quicklogic/common/cells_sim.v -> ok +Test ../../techlibs/quicklogic/qlf_k6n10f/cells_sim.v -> ok +Test ../../techlibs/sf2/cells_sim.v -> ok +Test ../../techlibs/xilinx/cells_sim.v -> ok +Test ../../techlibs/common/simcells.v -> ok +Test ../../techlibs/common/simlib.v ->Test: func_recurse -> ok + ok +...passed tests in tests/arch cd tests/rpc && bash run-test.sh "" Running exec.ys.. -xprop_not_3s_5: ok -xprop_not_3s_5: ok -Passed memory_bram test 02_00. - ok -Test ../../techlibs/gowin/cells_sim.v ->...passed tests in tests/rpc +Test: code_tidbits_wire_example -> ok +Test: t_init_4b1B_x_zero -> ok +Test: loop_var_shadow -> ok +Passed xilinx-xilinx_dsp.ys +...passed tests in tests/rpc +Test: func_width_scope -> ok +xprop_xnor_1u1_1: ok +xprop_xnor_1u1_1: ok +Passed xilinx-shifter.ys +[87][20]Test: t_init_4b1B_x_any -> ok +Test: macro_arg_surrounding_spaces -> ok +Test: genblk_collide -> ok +K[88]Test: code_verilog_tutorial_addbit -> ok cd tests/memfile && bash run-test.sh "" Running from the parent directory with content1.dat Running from the parent directory with temp/content2.dat @@ -60662,33 +62180,30 @@ Execution failed, which is OK. Checking a failure when not existing filename is provided memory.v:15: ERROR: Can not open file `content3.dat` for \$readmemb. +Test: t_init_4b1B_x_no_undef -> ok Execution failed, which is OK. ...passed tests in tests/memfile +Test: genblk_dive -> ok +Warning: Resizing cell port priority_memory.mem.0.0.BWE_A from 8 bits to 9 bits. +Warning: Resizing cell port priority_memory.mem.0.0.BWE_B from 8 bits to 9 bits. +Test: macros -> ok +Test: code_verilog_tutorial_always_example -> ok +Passed xilinx-xilinx_srl.ys +Test: loops -> ok +xprop_xnor_1s1_2: ok +xprop_xnor_1s1_2: ok +Test: t_clock_a4_wANYrANYsFalse -> ok +[89]Test: genblk_order -> ok cd tests/fmt && bash run-test.sh "" + ../../yosys -p 'read_verilog initial_display.v' + awk '/<<>>/,/<<>>/ {print $0}' -Test: t_async_big -> ok - ok + iverilog -o iverilog-initial_display initial_display.v -Test ../../techlibs/greenpak4/cells_sim.v -> ok -Passed memory_bram test 02_03. -Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->+ ./iverilog-initial_display ++ ./iverilog-initial_display + diff yosys-initial_display.log iverilog-initial_display.log + test_always_display clk -DEVENT_CLK + local subtest=clk + shift + ../../yosys -p 'read_verilog -DEVENT_CLK always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-1.v -../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. -Test: const_fold_func -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -60752,12 +62267,11 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 0de35d2746, CPU: user 0.01s system 0.00s, MEM: 10.74 MB peak +End of script. Logfile hash: 0de35d2746, CPU: user 0.00s system 0.01s, MEM: 12.10 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 43% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... +Time spent: 36% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-2.v - ok -Test ../../techlibs/ice40/cells_sim.v -DICE40_LP -> + /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | @@ -60821,24 +62335,15 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: e35e8bb689, CPU: user 0.01s system 0.00s, MEM: 10.79 MB peak +End of script. Logfile hash: e35e8bb689, CPU: user 0.01s system 0.00s, MEM: 12.01 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 35% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... +Time spent: 34% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... + diff yosys-always_display-clk-1.v yosys-always_display-clk-2.v + test_always_display clk_rst -DEVENT_CLK_RST + local subtest=clk_rst + shift + ../../yosys -p 'read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-1.v -../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. +Passed xilinx-tribuf.ys /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -60902,12 +62407,12 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: c95608ddf0, CPU: user 0.00s system 0.00s, MEM: 10.84 MB peak +End of script. Logfile hash: c95608ddf0, CPU: user 0.00s system 0.01s, MEM: 11.88 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 37% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... +Time spent: 36% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-2.v - ok -Test ../../techlibs/ice40/cells_sim.v -DICE40_U -> +Warning: Shift register inference not yet supported for family xc3s. + /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | @@ -60971,9 +62476,9 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: faf50513c3, CPU: user 0.00s system 0.01s, MEM: 10.95 MB peak +End of script. Logfile hash: faf50513c3, CPU: user 0.00s system 0.00s, MEM: 12.09 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 36% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... +Time spent: 35% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... + diff yosys-always_display-clk_rst-1.v yosys-always_display-clk_rst-2.v + test_always_display star -DEVENT_STAR + local subtest=star @@ -61042,22 +62547,11 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 7b2c5274a5, CPU: user 0.01s system 0.00s, MEM: 10.77 MB peak +End of script. Logfile hash: 7b2c5274a5, CPU: user 0.01s system 0.00s, MEM: 11.98 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 32% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... -../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. -Passed memory_bram test 03_01. -Passed memory_bram test 03_00. +Time spent: 39% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-2.v +Test: t_clock_a4_wANYrNEGsFalse -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -61122,15 +62616,15 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 8979c5de0b, CPU: user 0.01s system 0.00s, MEM: 10.97 MB peak +End of script. Logfile hash: 8979c5de0b, CPU: user 0.01s system 0.00s, MEM: 11.91 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 37% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... +Time spent: 35% 2x opt_expr (0 sec), 17% 2x read_verilog (0 sec), ... + diff yosys-always_display-star-1.v yosys-always_display-star-2.v +Test: code_verilog_tutorial_bus_con -> ok + test_always_display clk_en -DEVENT_CLK -DCOND_EN + local subtest=clk_en + shift + ../../yosys -p 'read_verilog -DEVENT_CLK -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-1.v - ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -61198,9 +62692,9 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 4f8a3b339c, CPU: user 0.00s system 0.01s, MEM: 11.47 MB peak +End of script. Logfile hash: 4f8a3b339c, CPU: user 0.00s system 0.01s, MEM: 12.79 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 40% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... +Time spent: 40% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-2.v /----------------------------------------------------------------------------\ @@ -61269,14 +62763,15 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 51e7fa3902, CPU: user 0.00s system 0.01s, MEM: 11.40 MB peak +End of script. Logfile hash: 51e7fa3902, CPU: user 0.01s system 0.00s, MEM: 12.91 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 44% 2x opt_expr (0 sec), 15% 1x clean (0 sec), ... -Test ../../techlibs/intel/max10/cells_sim.v ->+ diff yosys-always_display-clk_en-1.v yosys-always_display-clk_en-2.v +Time spent: 38% 2x opt_expr (0 sec), 15% 1x clean (0 sec), ... ++ diff yosys-always_display-clk_en-1.v yosys-always_display-clk_en-2.v + test_always_display clk_rst_en -DEVENT_CLK_RST -DCOND_EN + local subtest=clk_rst_en + shift + ../../yosys -p 'read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-1.v +xprop_xnor_2u2_2: ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -61293,6 +62788,7 @@ Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). +xprop_xnor_2u2_2: ok 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. @@ -61333,8 +62829,7 @@ 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. - ok -Removed 0 unused cells and 3 unused wires. +[90]Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-clk_rst_en-1.v' using backend `verilog' -- @@ -61345,10 +62840,10 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: f9b4876f33, CPU: user 0.01s system 0.00s, MEM: 11.32 MB peak +End of script. Logfile hash: f9b4876f33, CPU: user 0.01s system 0.00s, MEM: 12.52 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 39% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... -Test ../../techlibs/intel/cycloneiv/cells_sim.v ->+ ../../yosys -p 'read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-2.v +Time spent: 41% 2x opt_expr (0 sec), 15% 1x clean (0 sec), ... ++ ../../yosys -p 'read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-2.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -61416,13 +62911,11 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 0c4b4eaa9c, CPU: user 0.01s system 0.00s, MEM: 11.43 MB peak +End of script. Logfile hash: 0c4b4eaa9c, CPU: user 0.01s system 0.00s, MEM: 12.74 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 38% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... - ok +Time spent: 39% 2x opt_expr (0 sec), 15% 1x clean (0 sec), ... + diff yosys-always_display-clk_rst_en-1.v yosys-always_display-clk_rst_en-2.v -Passed memory_bram test 02_04. -Test ../../techlibs/intel/cyclone10lp/cells_sim.v ->+ test_always_display star_en -DEVENT_STAR -DCOND_EN ++ test_always_display star_en -DEVENT_STAR -DCOND_EN + local subtest=star_en + shift + ../../yosys -p 'read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-1.v @@ -61462,6 +62955,7 @@ 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Test: genblk_port_shadow -> ok Creating decoders for process `\m.$proc$always_display.v:10$1'. 1/1: $display$always_display.v:15$2_EN @@ -61493,11 +62987,10 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: d6a7335726, CPU: user 0.01s system 0.00s, MEM: 11.37 MB peak +End of script. Logfile hash: d6a7335726, CPU: user 0.00s system 0.01s, MEM: 12.30 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 39% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... +Time spent: 39% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-2.v - ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -61509,7 +63002,7 @@ -- Running command `read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-star_en-1.v -Test ../../techlibs/intel/cycloneive/cells_sim.v ->Parsing Verilog input from `yosys-always_display-star_en-1.v' to AST representation. +Parsing Verilog input from `yosys-always_display-star_en-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. @@ -61565,15 +63058,14 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 18895a2046, CPU: user 0.00s system 0.00s, MEM: 11.23 MB peak +End of script. Logfile hash: 18895a2046, CPU: user 0.01s system 0.00s, MEM: 12.79 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 37% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... +Time spent: 38% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... + diff yosys-always_display-star_en-1.v yosys-always_display-star_en-2.v + test_roundtrip dec_unsigned -DBASE_DEC -DSIGN= + local subtest=dec_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-dec_unsigned-1.v - ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -61634,11 +63126,11 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: bfb187b86d, CPU: user 0.01s system 0.00s, MEM: 10.70 MB peak +End of script. Logfile hash: bfb187b86d, CPU: user 0.00s system 0.00s, MEM: 12.06 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 26% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... +Time spent: 24% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -o yosys-roundtrip-dec_unsigned-2.v -Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> + /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | @@ -61699,27 +63191,23 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 4be9539e85, CPU: user 0.00s system 0.01s, MEM: 10.71 MB peak +End of script. Logfile hash: 4be9539e85, CPU: user 0.00s system 0.01s, MEM: 12.05 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 24% 2x read_verilog (0 sec), 23% 1x clean (0 sec), ... +Time spent: 22% 2x read_verilog (0 sec), 21% 1x clean (0 sec), ... + diff yosys-roundtrip-dec_unsigned-1.v yosys-roundtrip-dec_unsigned-2.v + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned roundtrip.v roundtrip_tb.v - ok + ./iverilog-roundtrip-dec_unsigned + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-1 yosys-roundtrip-dec_unsigned-1.v roundtrip_tb.v -Test ../../techlibs/microchip/cells_sim.v ->+ ./iverilog-roundtrip-dec_unsigned-1 ++ ./iverilog-roundtrip-dec_unsigned-1 + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-2 yosys-roundtrip-dec_unsigned-2.v roundtrip_tb.v - ok -Test ../../techlibs/nanoxplore/cells_sim.v ->+ ./iverilog-roundtrip-dec_unsigned-1 - ok ++ ./iverilog-roundtrip-dec_unsigned-1 +Test: t_clock_a4_wANYrPOSsFalse -> ok + diff iverilog-roundtrip-dec_unsigned.log iverilog-roundtrip-dec_unsigned-1.log -+ diff iverilog-roundtrip-dec_unsigned-1.log iverilog-roundtrip-dec_unsigned-2.log +[21]+ diff iverilog-roundtrip-dec_unsigned-1.log iverilog-roundtrip-dec_unsigned-2.log + test_roundtrip dec_signed -DBASE_DEC -DSIGN=signed + local subtest=dec_signed + shift + ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-dec_signed-1.v -Test ../../techlibs/nexus/cells_sim.v ->xprop_pos_3s_5: ok -xprop_pos_3s_5: ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -61780,9 +63268,9 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: bbdfa5ca92, CPU: user 0.00s system 0.01s, MEM: 10.75 MB peak +End of script. Logfile hash: bbdfa5ca92, CPU: user 0.00s system 0.00s, MEM: 11.93 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 23% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... +Time spent: 23% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -o yosys-roundtrip-dec_signed-2.v /----------------------------------------------------------------------------\ @@ -61845,29 +63333,23 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: b233de92a6, CPU: user 0.00s system 0.01s, MEM: 10.87 MB peak +End of script. Logfile hash: b233de92a6, CPU: user 0.00s system 0.00s, MEM: 12.03 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 24% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... +Time spent: 21% 1x clean (0 sec), 18% 2x read_verilog (0 sec), ... + diff yosys-roundtrip-dec_signed-1.v yosys-roundtrip-dec_signed-2.v - ok + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed roundtrip.v roundtrip_tb.v -Test ../../techlibs/quicklogic/pp3/cells_sim.v -> ok -Test ../../techlibs/quicklogic/common/cells_sim.v -> ok -Test ../../techlibs/quicklogic/qlf_k6n10f/cells_sim.v ->+ ./iverilog-roundtrip-dec_signed ++ ./iverilog-roundtrip-dec_signed + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-1 yosys-roundtrip-dec_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-dec_signed-1 - ok -Test ../../techlibs/sf2/cells_sim.v ->+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-2 yosys-roundtrip-dec_signed-2.v roundtrip_tb.v - ok -Test ../../techlibs/xilinx/cells_sim.v ->+ ./iverilog-roundtrip-dec_signed-1 -Test: const_func_shadow -> ok ++ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-2 yosys-roundtrip-dec_signed-2.v roundtrip_tb.v ++ ./iverilog-roundtrip-dec_signed-1 +Test: code_verilog_tutorial_comment -> ok + diff iverilog-roundtrip-dec_signed.log iverilog-roundtrip-dec_signed-1.log + diff iverilog-roundtrip-dec_signed-1.log iverilog-roundtrip-dec_signed-2.log + test_roundtrip hex_unsigned -DBASE_HEX -DSIGN= + local subtest=hex_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-hex_unsigned-1.v -Passed memory_bram test 03_02. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -61879,7 +63361,6 @@ -- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v - ok Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. @@ -61907,7 +63388,7 @@ Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Test ../../techlibs/common/simcells.v -> + 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). @@ -61929,10 +63410,11 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 2377f2e106, CPU: user 0.01s system 0.00s, MEM: 10.89 MB peak +End of script. Logfile hash: 2377f2e106, CPU: user 0.01s system 0.00s, MEM: 12.09 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 24% 1x clean (0 sec), 21% 2x read_verilog (0 sec), ... +Time spent: 23% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -o yosys-roundtrip-hex_unsigned-2.v +Test: t_clock_a4_wNEGrANYsFalse -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -61994,25 +63476,20 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 06bfea69c8, CPU: user 0.01s system 0.00s, MEM: 10.83 MB peak +End of script. Logfile hash: 06bfea69c8, CPU: user 0.01s system 0.00s, MEM: 11.90 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 23% 1x clean (0 sec), 21% 2x read_verilog (0 sec), ... +Time spent: 21% 1x clean (0 sec), 20% 2x read_verilog (0 sec), ... + diff yosys-roundtrip-hex_unsigned-1.v yosys-roundtrip-hex_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned roundtrip.v roundtrip_tb.v - ok -Test ../../techlibs/common/simlib.v -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! + ./iverilog-roundtrip-hex_unsigned -...passed tests in tests/arch -cd tests/cxxrtl && bash run-test.sh "" -+ run_subtest value -+ local subtest=value -+ shift -+ gcc -std=c++11 -O2 -o cxxrtl-test-value -I../../backends/cxxrtl/runtime test_value.cc -lstdc++ + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-1 yosys-roundtrip-hex_unsigned-1.v roundtrip_tb.v -Passed memory_bram test 03_04. + ./iverilog-roundtrip-hex_unsigned-1 + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-2 yosys-roundtrip-hex_unsigned-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_unsigned-1 +Test: mem2reg_bounds_tern -> ok +[22]+ ./iverilog-roundtrip-hex_unsigned-1 + diff iverilog-roundtrip-hex_unsigned.log iverilog-roundtrip-hex_unsigned-1.log + diff iverilog-roundtrip-hex_unsigned-1.log iverilog-roundtrip-hex_unsigned-2.log + test_roundtrip hex_signed -DBASE_HEX -DSIGN=signed @@ -62079,9 +63556,9 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 824c3b1e65, CPU: user 0.01s system 0.00s, MEM: 10.70 MB peak +End of script. Logfile hash: 824c3b1e65, CPU: user 0.01s system 0.00s, MEM: 11.98 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... +Time spent: 23% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -o yosys-roundtrip-hex_signed-2.v /----------------------------------------------------------------------------\ @@ -62144,9 +63621,9 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: f18b3fa15b, CPU: user 0.00s system 0.00s, MEM: 10.91 MB peak +End of script. Logfile hash: f18b3fa15b, CPU: user 0.00s system 0.01s, MEM: 12.20 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... +Time spent: 26% 1x opt_expr (0 sec), 21% 1x clean (0 sec), ... + diff yosys-roundtrip-hex_signed-1.v yosys-roundtrip-hex_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-hex_signed @@ -62154,12 +63631,13 @@ + ./iverilog-roundtrip-hex_signed-1 + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-2 yosys-roundtrip-hex_signed-2.v roundtrip_tb.v + ./iverilog-roundtrip-hex_signed-1 -Passed memory_bram test 04_00. +xprop_add_5u3_3: ok + diff iverilog-roundtrip-hex_signed.log iverilog-roundtrip-hex_signed-1.log + diff iverilog-roundtrip-hex_signed-1.log iverilog-roundtrip-hex_signed-2.log +xprop_add_5u3_3: ok + test_roundtrip oct_unsigned -DBASE_HEX -DSIGN= + local subtest=oct_unsigned -+ shift +[23]+ shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-oct_unsigned-1.v /----------------------------------------------------------------------------\ @@ -62221,9 +63699,9 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: b768358a65, CPU: user 0.01s system 0.00s, MEM: 10.67 MB peak +End of script. Logfile hash: b768358a65, CPU: user 0.01s system 0.00s, MEM: 11.95 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 24% 1x opt_expr (0 sec), 23% 1x clean (0 sec), ... +Time spent: 22% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -o yosys-roundtrip-oct_unsigned-2.v /----------------------------------------------------------------------------\ @@ -62286,16 +63764,16 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 762621cd95, CPU: user 0.01s system 0.00s, MEM: 10.86 MB peak +End of script. Logfile hash: 762621cd95, CPU: user 0.00s system 0.01s, MEM: 12.05 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 27% 1x clean (0 sec), 20% 2x read_verilog (0 sec), ... +Time spent: 22% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-oct_unsigned-1.v yosys-roundtrip-oct_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-oct_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-1 yosys-roundtrip-oct_unsigned-1.v roundtrip_tb.v + ./iverilog-roundtrip-oct_unsigned-1 +Test: t_clock_a4_wNEGrPOSsFalse -> ok + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-2 yosys-roundtrip-oct_unsigned-2.v roundtrip_tb.v -Test: constpower -> ok + ./iverilog-roundtrip-oct_unsigned-1 + diff iverilog-roundtrip-oct_unsigned.log iverilog-roundtrip-oct_unsigned-1.log + diff iverilog-roundtrip-oct_unsigned-1.log iverilog-roundtrip-oct_unsigned-2.log @@ -62363,9 +63841,9 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 7ec82b15e3, CPU: user 0.01s system 0.00s, MEM: 10.79 MB peak +End of script. Logfile hash: 7ec82b15e3, CPU: user 0.00s system 0.00s, MEM: 12.11 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ... +Time spent: 22% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -o yosys-roundtrip-oct_signed-2.v /----------------------------------------------------------------------------\ @@ -62428,15 +63906,18 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: a747b9bd4f, CPU: user 0.01s system 0.00s, MEM: 10.79 MB peak +End of script. Logfile hash: a747b9bd4f, CPU: user 0.01s system 0.00s, MEM: 12.08 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 24% 1x clean (0 sec), 21% 2x read_verilog (0 sec), ... +Time spent: 21% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-oct_signed-1.v yosys-roundtrip-oct_signed-2.v +Test: code_verilog_tutorial_counter -> ok + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-oct_signed + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-1 yosys-roundtrip-oct_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-oct_signed-1 +xprop_add_5s3_3: ok + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-2 yosys-roundtrip-oct_signed-2.v roundtrip_tb.v +xprop_add_5s3_3: ok + ./iverilog-roundtrip-oct_signed-1 + diff iverilog-roundtrip-oct_signed.log iverilog-roundtrip-oct_signed-1.log + diff iverilog-roundtrip-oct_signed-1.log iverilog-roundtrip-oct_signed-2.log @@ -62504,11 +63985,10 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 270b564880, CPU: user 0.00s system 0.01s, MEM: 10.84 MB peak +End of script. Logfile hash: 270b564880, CPU: user 0.00s system 0.00s, MEM: 12.07 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 26% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... +Time spent: 23% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -o yosys-roundtrip-bin_unsigned-2.v -xprop_neg_3s_5: ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -62570,20 +64050,18 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: dc9f56cb10, CPU: user 0.01s system 0.00s, MEM: 10.98 MB peak +End of script. Logfile hash: dc9f56cb10, CPU: user 0.01s system 0.00s, MEM: 11.98 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 23% 1x clean (0 sec), 22% 2x read_verilog (0 sec), ... +Time spent: 22% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-bin_unsigned-1.v yosys-roundtrip-bin_unsigned-2.v -xprop_neg_3s_5: ok + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-bin_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-1 yosys-roundtrip-bin_unsigned-1.v roundtrip_tb.v + ./iverilog-roundtrip-bin_unsigned-1 + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-2 yosys-roundtrip-bin_unsigned-2.v roundtrip_tb.v + ./iverilog-roundtrip-bin_unsigned-1 -Passed memory_bram test 04_01. + diff iverilog-roundtrip-bin_unsigned.log iverilog-roundtrip-bin_unsigned-1.log -[35]+ diff iverilog-roundtrip-bin_unsigned-1.log iverilog-roundtrip-bin_unsigned-2.log ++ diff iverilog-roundtrip-bin_unsigned-1.log iverilog-roundtrip-bin_unsigned-2.log + test_roundtrip bin_signed -DBASE_HEX -DSIGN=signed + local subtest=bin_signed + shift @@ -62646,11 +64124,12 @@ 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. +Test: t_clock_a4_wNEGrNEGsFalse -> ok Dumping module `\m'. -End of script. Logfile hash: 7709253822, CPU: user 0.01s system 0.00s, MEM: 10.83 MB peak +End of script. Logfile hash: 7709253822, CPU: user 0.01s system 0.00s, MEM: 12.17 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 24% 1x clean (0 sec), 24% 1x opt_expr (0 sec), ... +Time spent: 22% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -o yosys-roundtrip-bin_signed-2.v /----------------------------------------------------------------------------\ @@ -62701,7 +64180,7 @@ Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). -[36]Optimizing module m. +Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-bin_signed-2.v' using backend `verilog' -- @@ -62713,25 +64192,22 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 7e2d8271c4, CPU: user 0.01s system 0.00s, MEM: 10.92 MB peak +End of script. Logfile hash: 7e2d8271c4, CPU: user 0.01s system 0.00s, MEM: 12.13 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... +Time spent: 21% 1x opt_expr (0 sec), 20% 1x clean (0 sec), ... + diff yosys-roundtrip-bin_signed-1.v yosys-roundtrip-bin_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed roundtrip.v roundtrip_tb.v +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! + ./iverilog-roundtrip-bin_signed + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-1 yosys-roundtrip-bin_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-bin_signed-1 + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-2 yosys-roundtrip-bin_signed-2.v roundtrip_tb.v -Passed memory_bram test 04_02. -[37]+ ./cxxrtl-test-value -+ run_subtest value_fuzz -+ local subtest=value_fuzz -+ shift -+ gcc -std=c++11 -O2 -o cxxrtl-test-value_fuzz -I../../backends/cxxrtl/runtime test_value_fuzz.cc -lstdc++ + ./iverilog-roundtrip-bin_signed-1 -[38]+ diff iverilog-roundtrip-bin_signed.log iverilog-roundtrip-bin_signed-1.log -[39]+ diff iverilog-roundtrip-bin_signed-1.log iverilog-roundtrip-bin_signed-2.log -[5]+ test_cxxrtl always_full ++ diff iverilog-roundtrip-bin_signed.log iverilog-roundtrip-bin_signed-1.log ++ diff iverilog-roundtrip-bin_signed-1.log iverilog-roundtrip-bin_signed-2.log ++ test_cxxrtl always_full + local subtest=always_full + shift + ../../yosys -p 'read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' @@ -62839,65 +64315,82 @@ -End of script. Logfile hash: af8795c7c4, CPU: user 0.01s system 0.00s, MEM: 13.84 MB peak +End of script. Logfile hash: af8795c7c4, CPU: user 0.02s system 0.01s, MEM: 14.18 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 31% 2x read_verilog (0 sec), 25% 2x write_cxxrtl (0 sec), ... -[40]+ gcc -std=c++11 -o yosys-always_full -I../../backends/cxxrtl/runtime always_full_tb.cc -lstdc++ -[41]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -[42]Passed memory_bram test 04_03. -[43]make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/bram' -...passed tests in tests/bram -[44][45][46][47][48][49][50][51]xprop_and_1u1_1: ok -xprop_and_1u1_1: ok -Test: dff_different_styles -> ok -Test: constmuldivmod -> ok -xprop_and_1s1_2: ok -xprop_and_1s1_2: ok -xprop_and_2u2_2: ok -xprop_and_2u2_2: ok -xprop_or_1u1_1: ok -xprop_or_1u1_1: ok -Test: dff_init -> ok -K[6]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: forgen01 -> ok -Test: fiedler-cooley -> ok -xprop_or_1s1_2: ok -xprop_or_1s1_2: ok -K[7]Test: t_async_big_block -> ok -xprop_or_2u2_2: ok -xprop_or_2u2_2: ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +Time spent: 30% 2x read_verilog (0 sec), 24% 2x write_cxxrtl (0 sec), ... ++ gcc -std=c++11 -o yosys-always_full -I../../backends/cxxrtl/runtime always_full_tb.cc -lstdc++ +Test: code_verilog_tutorial_d_ff -> ok +Test: t_clock_a4_wPOSrANYsFalse -> ok +K[91]Test: mem2reg -> ok +Passed xilinx-xilinx_dffopt.ys +Test: t_clock_a4_wPOSrNEGsFalse -> ok +Test: code_verilog_tutorial_decoder -> ok +xprop_sub_5u3_3: ok +xprop_sub_5u3_3: ok +Test: t_clock_a4_wPOSrPOSsFalse -> ok +Test: module_scope -> ok +Test: t_clock_a4_wANYrANYsTrue -> ok +Test: code_verilog_tutorial_decoder_always -> ok +Test: generate -> ok +Passed xilinx-lutram.ys +KTest: t_clock_a4_wNEGrPOSsTrue -> ok +Test: t_clock_a4_wNEGrNEGsTrue -> ok +Test: code_verilog_tutorial_escape_id -> ok +[92]Test: graphtest -> ok +xprop_sub_5s3_3: ok +xprop_sub_5s3_3: ok +Test: module_scope_case -> ok +Test: t_clock_a4_wPOSrNEGsTrue -> ok +[24]Test: mem_arst -> ok +Test: code_verilog_tutorial_explicit -> ok +[25]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: forgen02 -> ok -Test: forloops -> ok -Test: t_async_small -> ok -+ ./cxxrtl-test-value_fuzz -xprop_xor_1u1_1: ok -Test: fsm -> ok -xprop_xor_1u1_1: ok -xprop_xor_1s1_2: ok -xprop_xor_1s1_2: ok -Test: func_block -> ok -Test: t_async_small_block -> ok -Test: func_recurse -> ok -Test: genblk_collide -> ok -Test: func_width_scope -> ok -Test: t_sync_big -> ok -Test: genblk_dive -> ok -Test: genblk_port_shadow -> ok -K[8]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +Test: t_unmixed -> ok +Test: t_clock_a4_wPOSrPOSsTrue -> ok +[26]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: genblk_order -> ok -xprop_xor_2u2_2: ok -xprop_xor_2u2_2: ok -Test: graphtest -> ok -Test: t_sync_big_sdp -> ok +Test: hierarchy -> ok +Kcd tests/cxxrtl && bash run-test.sh "" ++ run_subtest value ++ local subtest=value ++ shift ++ gcc -std=c++11 -O2 -o cxxrtl-test-value -I../../backends/cxxrtl/runtime test_value.cc -lstdc++ +Test: module_scope_func -> ok +[93]Test: t_mixed_9_18 -> ok +Test: code_verilog_tutorial_first_counter -> ok +xprop_mul_5u3_3: ok +xprop_mul_5u3_3: ok +Test: t_mixed_18_9 -> ok +Test: t_mixed_36_9 -> ok +Test: code_verilog_tutorial_flip_flop -> ok +Test: hierdefparam -> ok +K+ ./cxxrtl-test-value ++ run_subtest value_fuzz ++ local subtest=value_fuzz ++ shift ++ gcc -std=c++11 -O2 -o cxxrtl-test-value_fuzz -I../../backends/cxxrtl/runtime test_value_fuzz.cc -lstdc++ +Test: t_mixed_4_2 -> ok +xprop_mul_5s3_3: ok +xprop_mul_5s3_3: ok +KTest: i2c_master_tests -> ok +Test: t_tdp -> ok +Test: code_verilog_tutorial_fsm_full -> ok +Test: t_sync_2clk -> ok +Test: t_sync_shared -> ok +Test: ifdef_1 -> ok +xprop_div_5u3_3: ok +xprop_div_5u3_3: ok +Test: code_verilog_tutorial_good_code -> ok +Test: code_verilog_tutorial_if_else -> ok +Test: ifdef_2 -> ok +Test: t_sync_2clk_shared -> ok +Test: localparam_attr -> ok +Test: code_verilog_tutorial_multiply -> ok +Test: t_sync_trans_old_old -> ok +Test: loop_prefix_case -> ok +Test: t_sync_trans_old_new -> ok + ./yosys-always_full + iverilog -o iverilog-always_full always_full.v always_full_tb.v + ./iverilog-always_full @@ -63032,26 +64525,46 @@ Cleaned up 0 empty switches. 3.3.12. Executing OPT_EXPR pass (perform const folding). -Test: hierarchy -> ok Optimizing module top. -End of script. Logfile hash: a6b3c2e895, CPU: user 0.01s system 0.00s, MEM: 12.72 MB peak +End of script. Logfile hash: a6b3c2e895, CPU: user 0.01s system 0.00s, MEM: 13.52 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 28% 2x opt_expr (0 sec), 18% 2x read_verilog (0 sec), ... +Time spent: 28% 2x opt_expr (0 sec), 15% 2x read_verilog (0 sec), ... + gcc -std=c++11 -o yosys-always_comb -I../../backends/cxxrtl/runtime always_comb_tb.cc -lstdc++ -K[9]xprop_xnor_1u1_1: ok -xprop_xnor_1u1_1: ok -Test: hierdefparam -> ok -Test: i2c_master_tests -> ok -Test: ifdef_1 -> ok -Test: ifdef_2 -> ok -xprop_xnor_1s1_2: ok -xprop_xnor_1s1_2: ok -Test: localparam_attr -> ok -Test: loop_prefix_case -> ok +Test: loop_var_shadow -> ok +Test: code_verilog_tutorial_mux_21 -> ok +Test: t_sync_trans_old_none -> ok +xprop_div_5s3_3: ok +xprop_div_5s3_3: ok +Test: code_verilog_tutorial_n_out_primitive -> ok +Test: muxtree -> ok +[27]Test: t_sync_trans_new_old -> ok +Test: multiplier -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: loops -> ok +Test: code_verilog_tutorial_parallel_if -> ok +Test: t_sync_trans_new_new -> ok +[28]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: macro_arg_surrounding_spaces -> ok +Test: t_sync_trans_new_none -> ok +Test: code_verilog_tutorial_parity -> ok ++ ./cxxrtl-test-value_fuzz +xprop_mod_5u3_3: ok +xprop_mod_5u3_3: ok +KTest: t_sp_nc_none -> ok +Test: macros -> ok +Test: code_verilog_tutorial_simple_function -> ok +Test: t_sp_new_none -> ok +Test: code_verilog_tutorial_simple_if -> ok +K[94]Test: t_sp_old_none -> ok + ./yosys-always_comb +Test: code_verilog_tutorial_task_global -> ok + iverilog -o iverilog-always_comb always_comb.v always_comb_tb.v + grep -v '\$finish called' + ./iverilog-always_comb @@ -63113,6 +64626,7 @@ Optimizing module always_full. 2.3. Executing FUTURE pass. +Test: named_genblk -> ok 2.4. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. @@ -63207,15 +64721,15 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\always_full'. -End of script. Logfile hash: 52e889d7da, CPU: user 0.02s system 0.01s, MEM: 10.89 MB peak +End of script. Logfile hash: 52e889d7da, CPU: user 0.03s system 0.00s, MEM: 14.10 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 20% 4x opt_clean (0 sec), 17% 1x prep (0 sec), ... +Time spent: 19% 4x opt_clean (0 sec), 15% 1x prep (0 sec), ... + iverilog -o iverilog-always_full-1 yosys-always_full-1.v always_full_tb.v -+ ./iverilog-always_full-1 + grep -v '\$finish called' ++ ./iverilog-always_full-1 + diff iverilog-always_full.log iverilog-always_full-1.log + ../../yosys -p 'read_verilog display_lm.v' -K[10]+ ../../yosys -p 'read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' ++ ../../yosys -p 'read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -63303,31 +64817,36 @@ -End of script. Logfile hash: 15a147f3a6, CPU: user 0.00s system 0.00s, MEM: 11.72 MB peak +End of script. Logfile hash: 15a147f3a6, CPU: user 0.01s system 0.00s, MEM: 12.48 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 30% 1x opt_expr (0 sec), 19% 2x write_cxxrtl (0 sec), ... +Time spent: 28% 1x opt_expr (0 sec), 19% 2x write_cxxrtl (0 sec), ... + gcc -std=c++11 -o yosys-display_lm_cc -I../../backends/cxxrtl/runtime display_lm_tb.cc -lstdc++ -Test: t_sync_big_lut -> ok +Test: t_sp_nc_nc -> ok +Test: memory -> ok +[29]Passed xilinx-dsp_cascade.ys +Test: nested_genblk_resolve -> ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: generate -> ok -Test: loop_var_shadow -> ok -K[11]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: macro_arg_surrounding_spaces -> ok -Test: loops -> ok -Test: t_sync_small -> ok -xprop_xnor_2u2_2: ok -xprop_xnor_2u2_2: ok -Test: macros -> ok -Test: t_sync_small_block -> ok -K[12]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +xprop_mod_5s3_3: ok +xprop_mod_5s3_3: ok +Test: t_sp_new_nc -> ok +Test: code_verilog_tutorial_tri_buf -> ok +xprop_divfloor_5u3_3: ok +xprop_divfloor_5u3_3: ok +KTest: mem2reg -> ok +Test: t_sp_old_nc -> ok +Test: code_verilog_tutorial_v2k_reg -> ok +Test: omsp_dbg_uart -> ok +[30]Test: t_sp_nc_new -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: code_verilog_tutorial_which_clock -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/asicworld' +...passed tests in tests/asicworld +[95]Test: t_sp_new_new -> ok Test: mem2reg_bounds_tern -> ok -Test: t_sync_small_block_attr -> ok + ./yosys-display_lm_cc + for log in yosys-display_lm.log yosys-display_lm_cc.log + grep '^%l: \\bot$' yosys-display_lm.log @@ -63342,277 +64861,557 @@ %m: \bot %m: \bot ...passed tests in tests/fmt +[31]Test: t_sp_old_new -> ok +xprop_divfloor_5s3_3: ok +xprop_divfloor_5s3_3: ok Test: mem_arst -> ok -Test: t_init_lut_zeros_zero -> ok -xprop_add_5u3_3: ok -xprop_add_5u3_3: ok -Test: mem2reg -> ok -Test: module_scope_case -> ok -Test: t_init_lut_zeros_any -> ok +Test: t_sp_nc_old -> ok Test: module_scope -> ok -Test: module_scope_func -> ok -Test: t_init_lut_val_zero -> ok -Test: named_genblk -> ok -xprop_add_5s3_3: ok -xprop_add_5s3_3: ok -Test: t_init_lut_val_any -> ok -Test: muxtree -> ok -Test: nested_genblk_resolve -> ok -Test: multiplier -> ok -Test: t_init_lut_val_no_undef -> ok -Test: omsp_dbg_uart -> ok +[32]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: param_attr -> ok -Test: t_init_lut_val2_any -> ok -xprop_sub_5u3_3: ok -xprop_sub_5u3_3: ok -Test: dynslice -> ok -K[13]Test: t_init_lut_val2_no_undef -> ok -Test: paramods -> ok -Test: t_init_lut_x_none -> ok -Test: process -> ok -xprop_sub_5s3_3: ok -xprop_sub_5s3_3: ok -Test: t_init_lut_x_zero -> ok -Test: memory -> ok -Test: realexpr -> ok -Test: repwhile -> ok -Test: t_init_lut_x_any -> ok -Test: retime -> ok -Test: t_init_lut_x_no_undef -> ok -xprop_mul_5u3_3: ok -xprop_mul_5u3_3: ok -Test: scopes -> ok -Test: sign_part_assign -> ok -Test: t_ram_18b2B -> ok -Test: signed_full_slice -> ok -Test: signedexpr -> ok -Test: t_ram_9b1B -> ok -xprop_mul_5s3_3: ok -xprop_mul_5s3_3: ok -Test: string_format -> ok -Test: t_ram_4b1B -> ok -Test: rotate -> ok -Test: subbytes -> ok -Test: t_ram_2b1B -> ok -Test: undef_eqx_nex -> ok -xprop_div_5u3_3: ok -xprop_div_5u3_3: ok -Test: t_ram_1b1B -> ok -Test: t_init_9b1B_zeros_zero -> ok -Test: task_func -> ok -xprop_mod_5u3_3: ok -xprop_mod_5u3_3: ok -Test: t_init_9b1B_zeros_any -> ok -xprop_div_5s3_3: ok -xprop_div_5s3_3: ok -Test: usb_phy_tests -> ok -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits. -Passed xilinx-attributes_test.ys -Test: t_init_9b1B_val_zero -> ok -Test: sincos -> ok -xprop_mod_5s3_3: ok -Test: values -> ok -xprop_mod_5s3_3: ok -[52]Test: verilog_primitives -> ok -[53][54]Test: operators -> ok -[55]xprop_divfloor_5u3_3: ok -Test: t_init_9b1B_val_any -> ok -xprop_divfloor_5u3_3: ok -[56][57][58]Test: t_init_9b1B_val_no_undef -> ok -[59][60][61][62][63][64]Test: wandwor -> ok -[65][66][67]Test: vloghammer -> ok -[68]Test: t_init_13b2B_val_any -> ok -xprop_divfloor_5s3_3: ok -[69]xprop_divfloor_5s3_3: ok -[70][71]xprop_modfloor_5u3_3: ok +Test: t_sp_new_old -> ok +KK[96]Test: t_sp_old_old -> ok +Test: module_scope_case -> ok xprop_modfloor_5u3_3: ok -[72][73][74][75]Test: arrays02 -> ok -[76][77]Test: t_init_18b2B_val_any -> ok -[78][79][80][81][82][83][84][85]Test: t_init_18b2B_val_no_undef -> ok -[86][87][88][89][90]Test: arrays03 -> ok -[91]xprop_lt_5u3_2: ok +xprop_modfloor_5u3_3: ok +Test: t_sp_nc_new_only -> ok +xprop_lt_5u3_2: ok xprop_lt_5u3_2: ok -[92][93][94][95]xprop_modfloor_5s3_3: ok xprop_modfloor_5s3_3: ok -[96]Test: wreduce -> ok -Test: t_init_4b1B_x_none -> ok -[97][98]Test: case_expr_extend -> ok -[99]make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/opt_share' - -...passed tests in tests/opt_share -Test: t_init_4b1B_x_zero -> ok -Test: case_expr_query -> ok -Test: t_init_4b1B_x_any -> ok -Test: t_init_4b1B_x_no_undef -> ok -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits. -Test: t_clock_a4_wANYrANYsFalse -> ok +xprop_modfloor_5s3_3: ok +[97]Test: module_scope_func -> ok +[98]Test: t_sp_new_new_only -> ok +Warning: Resizing cell port sp_write_first.mem.0.0.BWE_A from 8 bits to 9 bits. +Test: t_sp_old_new_only -> ok xprop_lt_5s3_2: ok xprop_lt_5s3_2: ok -Test: t_clock_a4_wANYrNEGsFalse -> ok +Test: t_sp_nc_new_only_be -> ok +Test: paramods -> ok +KTest: t_sp_new_new_only_be -> ok +KTest: t_sp_old_new_only_be -> ok xprop_le_5u3_2: ok xprop_le_5u3_2: ok -Test: t_clock_a4_wANYrPOSsFalse -> ok -Test: t_clock_a4_wNEGrANYsFalse -> ok -Test: defvalue -> ok -Test: asgn_binop -> ok -Test: t_clock_a4_wNEGrPOSsFalse -> ok -Test: t_clock_a4_wNEGrNEGsFalse -> ok -Test: t_clock_a4_wPOSrANYsFalse -> ok -Test: t_clock_a4_wPOSrNEGsFalse -> ok -Test: t_clock_a4_wPOSrPOSsFalse -> ok -Test: lesser_size_cast -> ok +Test: t_sp_nc_new_be -> ok +Test: t_sp_new_new_be -> ok xprop_le_5s3_2: ok xprop_le_5s3_2: ok -Test: implicit_ports -> ok -Test: t_clock_a4_wANYrANYsTrue -> ok +Test: memory -> ok +Test: t_sp_old_new_be -> ok +Passed xilinx-tribuf.sh +Test: process -> ok +Test: muxtree -> ok +[99][33]Passed xilinx-macc.sh +Test: named_genblk -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: t_sp_nc_old_be -> ok +Test: nested_genblk_resolve -> ok +[34]Test: multiplier -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: t_sp_new_old_be -> ok +Test: omsp_dbg_uart -> ok xprop_eq_5u3_2: ok xprop_eq_5u3_2: ok -Test: t_clock_a4_wNEGrNEGsTrue -> ok -Test: t_clock_a4_wNEGrPOSsTrue -> ok -Test: local_loop_var -> ok -Test: t_clock_a4_wPOSrNEGsTrue -> ok -Test: t_clock_a4_wPOSrPOSsTrue -> ok -Test: t_unmixed -> ok -Test: matching_end_labels -> ok -Test: t_mixed_9_18 -> ok -Test: t_mixed_36_9 -> ok +K[35]Test: t_sp_old_old_be -> ok +Test: realexpr -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! xprop_eq_5s3_2: ok xprop_eq_5s3_2: ok -Test: t_mixed_18_9 -> ok -Test: memwr_port_connection -> ok -xprop_ne_5u3_2: ok +[36]Test: t_sp_nc_nc_be -> ok +Warning: Resizing cell port sp_read_first.mem.0.0.BWE_B from 8 bits to 9 bits. +Test: operators -> ok +Test: param_attr -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Passed xilinx-priority_memory.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/xilinx' +...passed tests in tests/arch/xilinx +Kxprop_ne_5u3_2: ok xprop_ne_5u3_2: ok -Test: t_mixed_4_2 -> ok -Test: macro_arg_spaces -> ok -Test: t_tdp -> ok -Test: unnamed_block_decl -> ok -Test: t_sync_2clk -> ok -Test: t_sync_trans_old_old -> ok -Test: t_sync_shared -> ok -Test: t_sync_2clk_shared -> ok +Test: repwhile -> ok +Test: t_sp_new_nc_be -> ok +Test: t_sp_old_nc_be -> ok +K[37]KTest: t_sp_nc_auto -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: paramods -> ok +Test: process -> ok +Test: realexpr -> ok +Test: t_sp_new_auto -> ok xprop_ne_5s3_2: ok xprop_ne_5s3_2: ok xprop_eqx_5u3_2: ok xprop_eqx_5u3_2: ok -Test: t_sync_trans_new_old -> ok -Test: t_sync_trans_old_new -> ok -Test: t_sync_trans_old_none -> ok -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits. +Test: t_sp_old_auto -> ok +Test: retime -> ok +Test: repwhile -> ok xprop_eqx_5s3_2: ok xprop_eqx_5s3_2: ok -Test: t_sync_trans_new_new -> ok -Test: t_sync_trans_new_none -> ok -Test: t_sp_nc_none -> ok +Test: retime -> ok +Test: t_sp_nc_auto_be -> ok +Test: scopes -> ok +Test: t_sp_new_auto_be -> ok +Test: scopes -> ok +[38]Test: t_sp_old_auto_be -> ok +KTest: sign_part_assign -> ok +[39]Test: t_sp_init_x_x -> ok xprop_nex_5s3_2: ok xprop_nex_5s3_2: ok -Test: t_sp_new_none -> ok xprop_nex_5u3_2: ok xprop_nex_5u3_2: ok -Test: t_sp_old_none -> ok -Test: t_sp_nc_nc -> ok -Test: t_sp_new_nc -> ok xprop_ge_5u3_2: ok xprop_ge_5u3_2: ok -Test: t_sp_old_nc -> ok -Test: t_sp_nc_new -> ok +Test: t_sp_init_x_x_re -> ok +KTest: t_sp_init_x_x_ce -> ok +Test: t_sp_init_0_x -> ok +Test: t_sp_init_0_x_re -> ok xprop_ge_5s3_2: ok xprop_ge_5s3_2: ok -Test: t_sp_new_new -> ok +Test: sign_part_assign -> ok +[40]Test: t_sp_init_0_0 -> ok +Test: signedexpr -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: signed_full_slice -> ok +Test: t_sp_init_0_0_re -> ok +Test: rotate -> ok xprop_gt_5u3_2: ok xprop_gt_5u3_2: ok -Passed xilinx-bug1460.ys -Test: t_sp_old_new -> ok -xprop_reduce_and_3u_3: ok -[14]xprop_reduce_and_3u_3: ok -[15]xprop_gt_5s3_2: ok +Test: signed_full_slice -> ok +[41]Test: t_sp_init_0_any -> ok +Test: t_sp_init_0_any_re -> ok +KTest: signedexpr -> ok xprop_gt_5s3_2: ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +xprop_gt_5s3_2: ok +[42]Test: t_sp_init_v_x -> ok +Test: string_format -> ok +Test: specify -> ok +KWarning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_nc_old -> ok -Test: t_sp_new_old -> ok -xprop_reduce_and_3s_3: ok +Test: t_sp_init_v_x_re -> ok +Test: t_sp_init_v_0 -> ok +Test: t_sp_init_v_0_re -> ok +Test: t_sp_init_v_any -> ok +Test: sincos -> ok +xprop_reduce_and_3u_3: ok +xprop_reduce_and_3u_3: ok +Test: partsel -> ok +Test: t_sp_arst_x_x_re -> ok +[43]Test: t_sp_arst_x_x -> ok +Test: t_sp_init_v_any_re -> ok +Kxprop_reduce_and_3s_3: ok xprop_reduce_and_3s_3: ok -[16]xprop_reduce_or_3u_3: ok +Test: t_sp_arst_0_x -> ok +Test: t_sp_arst_0_x_re -> ok +Test: subbytes -> ok +Test: undef_eqx_nex -> ok +[44]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: t_sp_arst_0_0 -> ok +Test: string_format -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/opt_share' + +...passed tests in tests/opt_share +Test: operators -> ok +Test: rotate -> ok +KTest: t_sp_arst_0_0_re -> ok +Test: t_sp_arst_0_any -> ok +[45]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_reduce_or_3u_3: ok xprop_reduce_or_3u_3: ok -K[17]xprop_reduce_or_3s_3: ok +[46]Test: t_sp_arst_0_any_re -> ok xprop_reduce_or_3s_3: ok -Test: t_sp_old_old -> ok -K[18]K[19]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +xprop_reduce_or_3s_3: ok +[47]K[48]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: usb_phy_tests -> ok +[49]Test: t_sp_arst_0_init -> ok xprop_reduce_xor_3u_3: ok xprop_reduce_xor_3u_3: ok -Test: t_sp_nc_new_only -> ok +KTest: task_func -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! xprop_reduce_xor_3s_3: ok xprop_reduce_xor_3s_3: ok -K[20]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_new_new_only -> ok +Test: t_sp_arst_v_x -> ok xprop_reduce_xnor_3u_3: ok xprop_reduce_xnor_3u_3: ok -Test: t_sp_old_new_only -> ok +Test: t_sp_arst_0_init_re -> ok xprop_reduce_xnor_3s_3: ok xprop_reduce_xnor_3s_3: ok -Test: t_sp_nc_new_only_be -> ok -KK[21]Test: t_sp_new_new_only_be -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: t_sp_arst_v_x_re -> ok +Test: verilog_primitives -> ok +Test: t_sp_arst_v_0 -> ok +Test: subbytes -> ok +Test: values -> ok +Test: t_sp_arst_v_any -> ok +Test: t_sp_arst_v_0_re -> ok xprop_reduce_bool_1u_1: ok xprop_reduce_bool_1u_1: ok -Passed xilinx-bug1462.ys -Test: t_sp_old_new_only_be -> ok -Test: t_sp_nc_new_be -> ok +KTest: t_sp_arst_v_any_re -> ok +Test: t_sp_arst_v_init -> ok +Test: t_sp_arst_v_init_re -> ok +Test: sincos -> ok +Test: task_func -> ok +KTest: wandwor -> ok +Test: t_sp_arst_e_x -> ok +Test: t_sp_arst_e_x_re -> ok +Test: t_sp_arst_e_0 -> ok xprop_reduce_bool_3u_3: ok xprop_reduce_bool_3u_3: ok -Test: t_sp_new_new_be -> ok -Test: t_sp_old_new_be -> ok +Test: undef_eqx_nex -> ok xprop_reduce_bool_3s_3: ok xprop_reduce_bool_3s_3: ok -Test: t_sp_new_old_be -> ok -Test: t_sp_nc_old_be -> ok -K[22]Test: t_sp_old_old_be -> ok +Test: vloghammer -> ok +Test: usb_phy_tests -> ok +Test: t_sp_arst_e_0_re -> ok +Test: t_sp_arst_e_any -> ok +Test: t_sp_arst_e_any_re -> ok +KTest: verilog_primitives -> ok +Test: values -> ok +Test: arrays02 -> ok xprop_reduce_bool_3s_1: ok xprop_reduce_bool_3s_1: ok -Test: t_sp_nc_nc_be -> ok +Test: t_sp_arst_e_init -> ok +KTest: t_sp_arst_e_init_re -> ok +Test: wandwor -> ok +Test: t_sp_arst_n_x -> ok +Test: case_expr_extend -> ok +Test: vloghammer -> ok xprop_logic_not_1u_1: ok xprop_logic_not_1u_1: ok -Test: t_sp_new_nc_be -> ok -Test: t_sp_old_nc_be -> ok -K[23]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_nc_auto -> ok -Test: t_sp_new_auto -> ok +Test: wreduce -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/simple' +...passed tests in tests/simple xprop_logic_not_3u_3: ok xprop_logic_not_3u_3: ok -K[24]xprop_logic_not_3s_3: ok +KTest: t_sp_arst_n_x_re -> ok +Test: case_expr_query -> ok +Test: t_sp_arst_n_0 -> ok +Test: arrays03 -> ok +Test: t_sp_arst_n_0_re -> ok xprop_logic_not_3s_3: ok -Test: t_sp_old_auto -> ok -Test: t_sp_nc_auto_be -> ok -T[25]Test: t_sp_new_auto_be -> ok -Test: t_sp_old_auto_be -> ok +xprop_logic_not_3s_3: ok +Test: t_sp_arst_n_any -> ok +Test: t_sp_arst_n_any_re -> ok +Test: t_sp_arst_n_init -> ok +Test: t_sp_arst_n_init_re -> ok +xprop_logic_and_1u1_1: ok xprop_logic_not_3s_1: ok xprop_logic_not_3s_1: ok xprop_logic_and_1u1_1: ok -xprop_logic_and_1u1_1: ok -Test: t_sp_init_x_x -> ok -Test: t_sp_init_x_x_re -> ok -Passed xilinx-bug1480.ys -Test: t_sp_init_x_x_ce -> ok -Test: t_sp_init_0_x -> ok +xprop_logic_and_3u3_3: ok +xprop_logic_and_3u3_3: ok +xprop_logic_and_3s3_3: ok +xprop_logic_and_3s3_3: ok +Test: t_sp_srst_x_x -> ok +Test: implicit_ports -> ok +Test: defvalue -> ok +Test: t_sp_srst_x_x_re -> ok +xprop_logic_or_1u1_1: ok +xprop_logic_or_1u1_1: ok +Test: t_sp_srst_0_x -> ok +xprop_logic_and_3s3_1: ok +xprop_logic_and_3s3_1: ok +Test: lesser_size_cast -> ok +Test: local_loop_var -> ok +xprop_logic_or_3u3_3: ok +xprop_logic_or_3u3_3: ok +Test: wreduce -> ok +Test: asgn_binop -> ok +Test: matching_end_labels -> ok +Test: t_sp_srst_0_x_re -> ok +xprop_logic_or_3s3_1: ok +xprop_logic_or_3s3_1: ok +xprop_logic_or_3s3_3: ok +xprop_logic_or_3s3_3: ok +Test: t_sp_srst_0_0 -> ok +xprop_shl_4u3u_3: ok +xprop_shl_4u3u_3: ok +Test: t_sp_srst_0_0_re -> ok +Test: memwr_port_connection -> ok +Test: t_sp_srst_0_any -> ok +Test: unnamed_block_decl -> ok +Test: t_sp_srst_0_init -> ok +Test: t_sp_srst_0_any_re -> ok +Test: t_sp_srst_0_init_re -> ok +xprop_shr_4u3u_3: ok +xprop_shr_4u3u_3: ok +Test: t_sp_srst_v_x -> ok +xprop_shl_4s3u_3: ok +xprop_shl_4s3u_3: ok +Test: t_sp_srst_v_x_re -> ok +xprop_shr_4s3u_3: ok +xprop_shr_4s3u_3: ok +Test: t_sp_srst_v_0 -> ok +xprop_sshl_4u3u_3: ok +xprop_sshl_4s3u_3: ok +xprop_sshl_4u3u_3: ok +xprop_sshl_4s3u_3: ok +Test: t_sp_srst_v_0_re -> ok +Test: macro_arg_spaces -> ok +xprop_sshr_4s3u_3: ok +xprop_sshr_4s3u_3: ok +xprop_sshr_4u3u_3: ok +xprop_sshr_4u3u_3: ok +Test: t_sp_srst_v_any -> ok +Test: t_sp_srst_v_any_re_gated -> ok +Test: t_sp_srst_v_any_ce_gated -> ok +Test: t_sp_srst_v_any_re -> ok +xprop_shift_4u3u_3: ok +xprop_shift_4u3u_3: ok +Test: t_sp_srst_v_any_ce -> ok +xprop_shift_4s3u_3: ok +xprop_shift_4s3u_3: ok +Test: t_sp_srst_v_init_re -> ok +Test: t_sp_srst_v_init -> ok +Test: t_sp_srst_e_0 -> ok +Test: t_sp_srst_e_x -> ok +Test: t_sp_srst_e_x_re -> ok +xprop_shift_4s2s_8: ok +xprop_shift_4s2s_8: ok +xprop_shift_4s3s_3: ok +xprop_shift_4s3s_3: ok +xprop_shift_4u2s_8: ok +xprop_shift_4u2s_8: ok +xprop_shift_4u3s_3: ok +xprop_shift_4u3s_3: ok +xprop_shiftx_4u3s_3: ok +xprop_shiftx_4u3s_3: ok +Test: t_sp_srst_e_0_re -> ok +Test: t_sp_srst_e_any -> ok +Test: t_sp_srst_e_any_re -> ok +xprop_shiftx_4u2s_8: ok +xprop_shiftx_4u2s_8: ok +Test: t_sp_srst_e_init -> ok +Test: t_sp_srst_e_init_re -> ok +xprop_mux_1: ok +xprop_mux_1: ok +Test: t_sp_srst_n_x -> ok +Test: t_sp_srst_n_x_re -> ok +Test: t_sp_srst_n_0 -> ok +Test: t_sp_srst_n_0_re -> ok +Test: t_sp_srst_n_any -> ok +Test: t_sp_srst_n_any_re -> ok +Test: t_sp_srst_n_init -> ok +Test: t_sp_srst_n_init_re -> ok +xprop_mux_3: ok +xprop_mux_3: ok +xprop_bmux_1_2: ok +xprop_bmux_1_2: ok +Test: t_sp_srst_gv_x -> ok +Test: t_sp_srst_gv_x_re -> ok +xprop_bmux_3_1: ok +xprop_bmux_3_1: ok +Test: t_sp_srst_gv_0_re -> ok +Test: t_sp_srst_gv_0 -> ok +xprop_bmux_2_2: ok +xprop_bmux_2_2: ok +Test: t_sp_srst_gv_any -> ok +Test: t_sp_srst_gv_any_re -> ok +xprop_demux_1_2: ok +xprop_demux_1_2: ok +xprop_pmux_1_4: ok +xprop_pmux_1_4: ok +xprop_demux_3_1: ok +xprop_demux_3_1: ok +Test: t_sp_srst_gv_any_re_gated -> ok +xprop_pmux_2_2: ok +xprop_pmux_2_2: ok +xprop_bwmux_1: ok +Test: t_sp_srst_gv_any_ce -> ok +xprop_bwmux_1: ok +Test: t_sp_srst_gv_any_ce_gated -> ok +xprop_pmux_3_1: ok +xprop_pmux_3_1: ok +Test: t_sp_srst_gv_init -> ok +xprop_demux_2_2: ok +xprop_demux_2_2: ok +Test: t_sp_srst_gv_init_re -> ok +Test: t_wren_a4d4_NO_BYTE -> ok +Test: t_wren_a5d4_NO_BYTE -> ok +xprop_bweqx_1: ok +xprop_bweqx_1: ok +Test: t_wren_a3d8_NO_BYTE -> ok +Test: t_wren_a6d4_NO_BYTE -> ok +Test: t_wren_a4d8_NO_BYTE -> ok +xprop_bweqx_3: ok +xprop_bweqx_3: ok +xprop_bwmux_3: ok +xprop_bwmux_3: ok +Test: t_wren_a4d4_W4_B4 -> ok +xprop_ff_1: ok +xprop_ff_1: ok +Test: t_wren_a4d8_W4_B4_separate -> ok +Test: t_wren_a4d8_W8_B4 -> ok +Test: t_wren_a4d8_W8_B4_separate -> ok +xprop_pmux_4_4: ok +xprop_pmux_4_4: ok +Test: t_wren_a4d8_W8_B8 -> ok +Test: t_wren_a4d8_W8_B8_separate -> ok +xprop_ff_3: ok +xprop_ff_3: ok +Test: t_wren_a4d2w8_W16_B4 -> ok +xprop_dff_1pd: ok +xprop_dff_1pd: ok +Test: t_wren_a4d2w8_W16_B4_separate -> ok +Test: t_wren_a4d4w4_W16_B4 -> ok +Test: t_wren_a4d4w4_W16_B4_separate -> ok +Test: t_wren_a5d4w2_W16_B4 -> ok +xprop_dff_1nd: ok +xprop_dff_1nd: ok +xprop_dff_3pd: ok +xprop_dff_3pd: ok +xprop_dff_3nd: ok +xprop_dff_3nd: ok +Test: t_wren_a5d4w2_W16_B4_separate -> ok +Test: t_wren_a5d4w4_W16_B4 -> ok +Test: t_wren_a4d8w2_W16_B4 -> ok +Test: t_wren_a5d4w4_W16_B4_separate -> ok +Test: t_wren_a4d8w2_W16_B4_separate -> ok +Test: t_wren_a5d8w1_W16_B4 -> ok +T +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/fsm' +...passed tests in tests/fsm +Test: t_wren_a5d8w1_W16_B4_separate -> ok +Test: t_wren_a5d8w2_W16_B4 -> ok +xprop_dffe_1pnd: ok +xprop_dffe_1pnd: ok +Test: t_wren_a5d8w2_W16_B4_separate -> ok +Test: t_wren_a4d16w1_W16_B4_separate -> ok +Test: t_wren_a4d16w1_W16_B4 -> ok +xprop_dffe_1nnd: ok +xprop_dffe_1nnd: ok +Test: t_wren_a4d4w2_W8_B8 -> ok +Test: t_wren_a4d4w2_W8_B8_separate -> ok +Test: t_wren_a4d4w1_W8_B8 -> ok +Test: t_wren_a4d4w1_W8_B8_separate -> ok +Test: t_wren_a3d8w2_W8_B8 -> ok +Test: t_wren_a4d8w2_W8_B8 -> ok +Test: t_wren_a4d8w2_W8_B8_separate -> ok +Test: t_wren_a3d8w2_W8_B8_separate -> ok +Test: t_wren_a4d4w2_W8_B4 -> ok +xprop_dffe_3nnd: ok +xprop_dffe_3nnd: ok +Test: t_wren_a4d4w2_W8_B4_separate -> ok +xprop_dffe_1ppd: ok +xprop_dffe_1ppd: ok +xprop_dffe_3pnd: ok +xprop_dffe_3pnd: ok +Test: t_wren_a4d2w4_W8_B4 -> ok +Test: t_wren_a4d2w4_W8_B4_separate -> ok +Test: t_wren_a4d4w4_W8_B4_separate -> ok +Test: t_wren_a4d4w4_W8_B4 -> ok +Test: t_wren_a4d4w4_W4_B4 -> ok +Test: t_wren_a4d4w4_W4_B4_separate -> ok +Test: t_wren_a4d4w5_W4_B4 -> ok +xprop_dffe_1npd: ok +xprop_dffe_1npd: ok +Test: t_geom_a4d64_wren -> ok +Test: t_geom_a5d64_wren -> ok +Test: t_geom_a6d16_wren -> ok +Test: t_geom_a5d32_wren -> ok +Test: t_wren_a4d4w5_W4_B4_separate -> ok +Test: t_geom_a6d30_wren -> ok +Test: t_geom_a6d64_wren -> ok +Test: t_geom_a7d4_wren -> ok +xprop_dffe_3ppd: ok +xprop_dffe_3ppd: ok +Test: t_geom_a7d6_wren -> ok +Test: t_geom_a7d8_wren -> ok +Test: t_geom_a8d6_wren -> ok +Test: t_geom_a7d17_wren -> ok +Test: t_geom_a9d4_wren -> ok +Test: t_geom_a8d4_wren -> ok +Test: t_geom_a9d5_wren -> ok +Test: t_geom_a3d18_9b1B -> ok +Test: t_geom_a9d8_wren -> ok +Test: t_geom_a9d6_wren -> ok +Test: t_geom_a4d4_9b1B -> ok +xprop_dffe_3npd: ok +xprop_dffe_3npd: ok +done +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/xprop' +...passed tests in tests/xprop +Test: t_geom_a4d18_9b1B -> ok +Test: t_geom_a5d32_9b1B -> ok +Test: t_geom_a6d4_9b1B -> ok +Test: t_geom_a7d11_9b1B -> ok +Test: t_wide_sdp_a7r1w1b1x1 -> ok +Test: t_wide_sdp_a6r1w1b1x1 -> ok +Test: t_geom_a7d18_9b1B -> ok +Test: t_geom_a11d1_9b1B -> ok +Test: t_wide_sdp_a8r1w1b1x1 -> ok +Test: t_wide_sdp_a6r2w0b0x0 -> ok +Test: t_wide_sdp_a6r0w0b0x0 -> ok +Test: t_wide_sdp_a6r1w0b0x0 -> ok +Test: t_wide_sdp_a6r4w0b0x0 -> ok +Test: t_wide_sdp_a6r3w0b0x0 -> ok +Test: t_wide_sdp_a6r0w1b1x0 -> ok +Test: t_wide_sdp_a6r0w1b0x0 -> ok +Test: t_wide_sdp_a6r0w2b0x0 -> ok +Test: t_wide_sdp_a6r5w0b0x0 -> ok +Test: t_wide_sdp_a6r0w2b2x0 -> ok +Test: t_wide_sdp_a6r0w3b2x0 -> ok +Test: t_wide_sdp_a6r0w4b2x0 -> ok +Test: t_wide_sdp_a7r2w0b0x0 -> ok +Test: t_wide_sdp_a7r0w0b0x0 -> ok +Test: t_wide_sdp_a7r1w0b0x0 -> ok +Test: t_wide_sdp_a7r3w0b0x0 -> ok +Test: t_wide_sdp_a7r0w1b0x0 -> ok +Test: t_wide_sdp_a7r0w2b2x0 -> ok +Test: t_wide_sdp_a7r4w0b0x0 -> ok +Test: t_wide_sdp_a6r0w5b2x0 -> ok +Test: t_wide_sdp_a7r0w2b0x0 -> ok +Test: t_wide_sdp_a7r5w0b0x0 -> ok +Test: t_wide_sdp_a7r0w1b1x0 -> ok +Test: t_wide_sdp_a7r0w3b2x0 -> ok +Test: t_wide_sp_mix_a6r1w1b1 -> ok +Test: t_wide_sp_mix_a7r1w1b1 -> ok +Test: t_wide_sdp_a7r0w4b2x0 -> ok +Test: t_wide_sp_mix_a8r1w1b1 -> ok +Test: t_wide_sp_mix_a6r0w0b0 -> ok +Test: t_wide_sp_mix_a6r3w0b0 -> ok +Test: t_wide_sp_mix_a6r1w0b0 -> ok +Test: t_wide_sp_mix_a6r2w0b0 -> ok +Test: t_wide_sp_mix_a6r0w1b1 -> ok +Test: t_wide_sp_mix_a6r0w1b0 -> ok +Test: t_wide_sp_mix_a6r5w0b0 -> ok +Test: t_wide_sp_mix_a6r4w0b0 -> ok +Test: t_wide_sdp_a7r0w5b2x0 -> ok +Test: t_wide_sp_mix_a6r0w2b0 -> ok +Test: t_wide_sp_mix_a6r0w2b2 -> ok +Test: t_wide_sp_mix_a6r0w4b2 -> ok +Test: t_wide_sp_mix_a6r0w3b2 -> ok +Test: t_wide_sp_mix_a7r1w0b0 -> ok +Test: t_wide_sp_mix_a7r0w0b0 -> ok +Test: t_wide_sp_mix_a6r0w5b2 -> ok +Test: t_wide_sp_mix_a7r2w0b0 -> ok +Test: t_wide_sp_mix_a7r3w0b0 -> ok +Test: t_wide_sp_mix_a7r0w1b1 -> ok +Test: t_wide_sp_mix_a7r0w1b0 -> ok +Test: t_wide_sp_mix_a7r4w0b0 -> ok +Test: t_wide_sp_mix_a7r0w2b0 -> ok +Test: t_wide_sp_mix_a7r5w0b0 -> ok Randomized tests for value::shl: Test passed @ Bits = 8. Test passed @ Bits = 32. @@ -63778,682 +65577,167 @@ Warnings: 2 unique messages, 2 total -End of script. Logfile hash: 91df85c4b8, CPU: user 0.01s system 0.00s, MEM: 10.30 MB peak +End of script. Logfile hash: 91df85c4b8, CPU: user 0.00s system 0.00s, MEM: 12.39 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 29% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... +Time spent: 27% 2x opt_expr (0 sec), 14% 1x clean (0 sec), ... + gcc -std=c++11 -c -o cxxrtl-test-unconnected_output -I../../backends/cxxrtl/runtime cxxrtl-test-unconnected_output.cc -xprop_logic_and_3u3_3: ok -xprop_logic_and_3u3_3: ok -xprop_logic_and_3s3_3: ok -xprop_logic_and_3s3_3: ok -Test: t_sp_init_0_x_re -> ok -K[26]Test: t_sp_init_0_0 -> ok -K[27]Test: t_sp_init_0_any -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_init_0_0_re -> ok -K[28]xprop_logic_and_3s3_1: ok -xprop_logic_and_3s3_1: ok -Test: t_sp_init_0_any_re -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_init_v_x -> ok -...passed tests in tests/cxxrtl -Warning: Wire top.\t is used but has no driver. -Warning: Wire top.\in is used but has no driver. -Test: t_sp_init_v_x_re -> ok -Test: t_sp_init_v_0 -> ok -Test: t_sp_init_v_0_re -> ok -xprop_logic_or_1u1_1: ok -xprop_logic_or_1u1_1: ok -Test: t_sp_init_v_any -> ok -Test: t_sp_init_v_any_re -> ok -Test: t_sp_arst_x_x -> ok -Test: t_sp_arst_x_x_re -> ok -Test: t_sp_arst_0_x -> ok -Test: t_sp_arst_0_x_re -> ok -Test: t_sp_arst_0_0_re -> ok -Test: t_sp_arst_0_0 -> ok -Test: t_sp_arst_0_any -> ok -xprop_logic_or_3u3_3: ok -xprop_logic_or_3u3_3: ok -Test: t_sp_arst_0_any_re -> ok -Test: t_sp_arst_0_init -> ok -K[29]Test: t_sp_arst_0_init_re -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_arst_v_x -> ok -Test: t_sp_arst_v_x_re -> ok -Test: t_sp_arst_v_0 -> ok -Test: t_sp_arst_v_any -> ok -Test: t_sp_arst_v_0_re -> ok -xprop_logic_or_3s3_3: ok -xprop_logic_or_3s3_3: ok -Passed xilinx-bug1605.ys -Test: t_sp_arst_v_any_re -> ok -K[30]Test: t_sp_arst_v_init -> ok -Test: t_sp_arst_v_init_re -> ok -Test: t_sp_arst_e_x -> ok -K[31]Passed xilinx-bug3670.ys -[32]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_arst_e_x_re -> ok -Test: t_sp_arst_e_0 -> ok -xprop_logic_or_3s3_1: ok -xprop_logic_or_3s3_1: ok -Passed xilinx-bug1598.ys -Test: t_sp_arst_e_0_re -> ok -Test: t_sp_arst_e_any -> ok -Test: t_sp_arst_e_any_re -> ok -Test: t_sp_arst_e_init -> ok -K[33]Test: t_sp_arst_e_init_re -> ok -Test: t_sp_arst_n_x -> ok -Test: t_sp_arst_n_x_re -> ok -K[34]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_arst_n_0_re -> ok -Test: t_sp_arst_n_0 -> ok -xprop_shl_4u3u_3: ok -xprop_shl_4u3u_3: ok -K[35]Test: t_sp_arst_n_any -> ok -K[36]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_arst_n_any_re -> ok -Test: t_sp_arst_n_init -> ok -Test: t_sp_arst_n_init_re -> ok -K[37]Test: t_sp_srst_x_x -> ok -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits. -Test: t_sp_srst_x_x_re -> ok -[38]Test: t_sp_srst_0_x -> ok -K[39]Test: t_sp_srst_0_x_re -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[40]xprop_shl_4s3u_3: ok -xprop_shl_4s3u_3: ok -Test: t_sp_srst_0_0 -> ok -K[41]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[42]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[43]KTest: t_sp_srst_0_0_re -> ok -[44]K[45]Test: t_sp_srst_0_any -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_srst_0_any_re -> ok -Test: t_sp_srst_0_init -> ok -xprop_shr_4u3u_3: ok -xprop_shr_4u3u_3: ok -Test: t_sp_srst_v_x -> ok -K[46]K[47]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Passed xilinx-counter.ys -Test: t_sp_srst_0_init_re -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_srst_v_x_re -> ok -K[48]K[49]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_srst_v_0_re -> ok -Test: t_sp_srst_v_0 -> ok -xprop_shr_4s3u_3: ok -xprop_shr_4s3u_3: ok -Test: t_sp_srst_v_any_re -> ok -Test: t_sp_srst_v_any -> ok -KKTest: t_sp_srst_v_any_ce -> ok -KTest: t_sp_srst_v_any_re_gated -> ok -Test: t_sp_srst_v_any_ce_gated -> ok -Test: t_sp_srst_v_init -> ok -Test: t_sp_srst_v_init_re -> ok -xprop_sshl_4u3u_3: ok -xprop_sshl_4u3u_3: ok -KTest: t_sp_srst_e_0 -> ok -Test: t_sp_srst_e_x -> ok -Test: t_sp_srst_e_x_re -> ok -KTest: t_sp_srst_e_0_re -> ok -Test: t_sp_srst_e_any -> ok -Test: t_sp_srst_e_init_re -> ok -Test: t_sp_srst_e_any_re -> ok -Test: t_sp_srst_e_init -> ok -Test: t_sp_srst_n_x_re -> ok -Test: t_sp_srst_n_0 -> ok -Test: t_sp_srst_n_0_re -> ok -Test: t_sp_srst_n_x -> ok -Test: t_sp_srst_n_any -> ok -Test: t_sp_srst_n_any_re -> ok -Test: t_sp_srst_n_init -> ok -Test: t_sp_srst_n_init_re -> ok -Test: t_sp_srst_gv_x -> ok -Test: t_sp_srst_gv_0 -> ok -Test: t_sp_srst_gv_x_re -> ok -xprop_sshl_4s3u_3: ok -xprop_sshl_4s3u_3: ok -Test: t_sp_srst_gv_0_re -> ok -Test: t_sp_srst_gv_any -> ok -Test: t_sp_srst_gv_any_re_gated -> ok -Test: t_sp_srst_gv_any_re -> ok -Test: t_sp_srst_gv_any_ce -> ok -Test: t_sp_srst_gv_any_ce_gated -> ok -Test: t_sp_srst_gv_init -> ok -Test: t_wren_a5d4_NO_BYTE -> ok -Test: t_sp_srst_gv_init_re -> ok -Test: t_wren_a4d4_NO_BYTE -> ok -Test: t_wren_a3d8_NO_BYTE -> ok -Test: t_wren_a4d8_NO_BYTE -> ok -Test: t_wren_a6d4_NO_BYTE -> ok -Test: t_wren_a4d8_W4_B4_separate -> ok -Test: t_wren_a4d8_W8_B4 -> ok -Test: t_wren_a4d4_W4_B4 -> ok -Test: t_wren_a4d8_W8_B8_separate -> ok -Test: t_wren_a4d8_W8_B4_separate -> ok -Test: t_wren_a4d8_W8_B8 -> ok -xprop_sshr_4u3u_3: ok -xprop_sshr_4u3u_3: ok -Test: t_wren_a4d2w8_W16_B4_separate -> ok -Test: t_wren_a4d2w8_W16_B4 -> ok -Test: t_wren_a4d4w4_W16_B4 -> ok -Test: t_wren_a4d4w4_W16_B4_separate -> ok -Test: t_wren_a5d4w2_W16_B4 -> ok -Test: t_wren_a5d4w2_W16_B4_separate -> ok -Test: t_wren_a5d4w4_W16_B4 -> ok -Test: t_wren_a5d4w4_W16_B4_separate -> ok -Test: t_wren_a5d8w1_W16_B4 -> ok -Test: t_wren_a4d8w2_W16_B4 -> ok -Test: t_wren_a4d8w2_W16_B4_separate -> ok -Test: t_wren_a5d8w1_W16_B4_separate -> ok -Test: t_wren_a5d8w2_W16_B4 -> ok -Test: t_wren_a4d16w1_W16_B4 -> ok -Test: t_wren_a5d8w2_W16_B4_separate -> ok -Test: t_wren_a4d16w1_W16_B4_separate -> ok -Test: t_wren_a4d4w2_W8_B8 -> ok -Test: t_wren_a4d4w2_W8_B8_separate -> ok -Test: t_wren_a4d4w1_W8_B8 -> ok -Test: t_wren_a4d4w1_W8_B8_separate -> ok -xprop_sshr_4s3u_3: ok -xprop_sshr_4s3u_3: ok -Test: t_wren_a4d8w2_W8_B8 -> ok -T -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/fsm' -...passed tests in tests/fsm -Test: t_wren_a4d8w2_W8_B8_separate -> ok -Test: t_wren_a3d8w2_W8_B8 -> ok -Test: t_wren_a4d4w2_W8_B4 -> ok -Test: t_wren_a3d8w2_W8_B8_separate -> ok -Test: t_wren_a4d4w2_W8_B4_separate -> ok -Test: t_wren_a4d2w4_W8_B4 -> ok -Test: t_wren_a4d2w4_W8_B4_separate -> ok -Test: t_wren_a4d4w4_W8_B4 -> ok -xprop_shift_4u3u_3: ok -xprop_shift_4u3u_3: ok -Test: t_wren_a4d4w4_W8_B4_separate -> ok -xprop_shift_4s3u_3: ok -xprop_shift_4s3u_3: ok -xprop_shift_4u3s_3: ok -xprop_shift_4u3s_3: ok -Test: t_wren_a4d4w4_W4_B4 -> ok -xprop_shift_4s2s_8: ok -xprop_shift_4s2s_8: ok -xprop_shift_4u2s_8: ok -xprop_shift_4u2s_8: ok -Test: t_wren_a4d4w4_W4_B4_separate -> ok -Test: t_wren_a4d4w5_W4_B4 -> ok -Test: t_wren_a4d4w5_W4_B4_separate -> ok -Test: t_geom_a4d64_wren -> ok -Test: t_geom_a5d32_wren -> ok -xprop_shift_4s3s_3: ok -xprop_shift_4s3s_3: ok -Test: t_geom_a5d64_wren -> ok -xprop_mux_1: ok -xprop_mux_1: ok -Test: t_geom_a6d16_wren -> ok -xprop_shiftx_4u3s_3: ok -xprop_shiftx_4u3s_3: ok -Test: t_geom_a6d30_wren -> ok -Test: t_geom_a6d64_wren -> ok -xprop_shiftx_4u2s_8: ok -xprop_shiftx_4u2s_8: ok -Test: t_geom_a7d4_wren -> ok -Test: t_geom_a7d6_wren -> ok -Test: t_geom_a7d8_wren -> ok -Test: t_geom_a7d17_wren -> ok -Test: t_geom_a8d4_wren -> ok -xprop_mux_3: ok -xprop_mux_3: ok -Test: t_geom_a8d6_wren -> ok -Test: t_geom_a9d8_wren -> ok -xprop_bmux_1_2: ok -xprop_bmux_1_2: ok -Test: t_geom_a9d4_wren -> ok -Test: t_geom_a9d5_wren -> ok -Test: t_geom_a4d4_9b1B -> ok -Test: t_geom_a3d18_9b1B -> ok -xprop_bmux_2_2: ok -xprop_bmux_2_2: ok -Test: t_geom_a9d6_wren -> ok -Test: t_geom_a4d18_9b1B -> ok -Test: t_geom_a6d4_9b1B -> ok -Test: t_geom_a5d32_9b1B -> ok -Test: t_geom_a7d11_9b1B -> ok -xprop_bmux_3_1: ok -xprop_bmux_3_1: ok -Test: t_geom_a7d18_9b1B -> ok -Test: t_geom_a11d1_9b1B -> ok -Test: t_wide_sdp_a7r1w1b1x1 -> ok -xprop_demux_1_2: ok -xprop_demux_1_2: ok -Test: t_wide_sdp_a6r1w1b1x1 -> ok -Test: t_wide_sdp_a8r1w1b1x1 -> ok -xprop_demux_2_2: ok -xprop_demux_2_2: ok -Test: t_wide_sdp_a6r0w0b0x0 -> ok -Test: t_wide_sdp_a6r1w0b0x0 -> ok -Test: t_wide_sdp_a6r3w0b0x0 -> ok -Test: t_wide_sdp_a6r2w0b0x0 -> ok -Test: t_wide_sdp_a6r4w0b0x0 -> ok -Test: t_wide_sdp_a6r5w0b0x0 -> ok -Test: t_wide_sdp_a6r0w1b0x0 -> ok -Test: t_wide_sdp_a6r0w1b1x0 -> ok -xprop_demux_3_1: ok -xprop_demux_3_1: ok -Test: t_wide_sdp_a6r0w2b2x0 -> ok -Test: t_wide_sdp_a6r0w2b0x0 -> ok -Test: t_wide_sdp_a6r0w3b2x0 -> ok -Test: t_wide_sdp_a6r0w4b2x0 -> ok -xprop_pmux_1_4: ok -xprop_pmux_1_4: ok -Test: t_wide_sdp_a6r0w5b2x0 -> ok -Test: t_wide_sdp_a7r1w0b0x0 -> ok -Test: t_wide_sdp_a7r0w0b0x0 -> ok -Test: t_wide_sdp_a7r2w0b0x0 -> ok -Test: t_wide_sdp_a7r3w0b0x0 -> ok -Test: t_wide_sdp_a7r4w0b0x0 -> ok -Test: t_wide_sdp_a7r5w0b0x0 -> ok -Test: t_wide_sdp_a7r0w1b0x0 -> ok -xprop_pmux_2_2: ok -xprop_pmux_2_2: ok -Test: t_wide_sdp_a7r0w1b1x0 -> ok -Test: t_wide_sdp_a7r0w2b0x0 -> ok -Test: t_wide_sdp_a7r0w3b2x0 -> ok -Test: t_wide_sdp_a7r0w2b2x0 -> ok -xprop_pmux_3_1: ok -xprop_pmux_3_1: ok -Test: t_wide_sdp_a7r0w4b2x0 -> ok -Test: t_wide_sdp_a7r0w5b2x0 -> ok -Test: t_wide_sp_mix_a8r1w1b1 -> ok -Test: t_wide_sp_mix_a7r1w1b1 -> ok -Test: t_wide_sp_mix_a6r1w1b1 -> ok -Test: t_wide_sp_mix_a6r0w0b0 -> ok -Test: t_wide_sp_mix_a6r1w0b0 -> ok -Test: t_wide_sp_mix_a6r2w0b0 -> ok -Test: t_wide_sp_mix_a6r3w0b0 -> ok -xprop_bwmux_1: ok -xprop_bwmux_1: ok -Test: t_wide_sp_mix_a6r4w0b0 -> ok -Test: t_wide_sp_mix_a6r5w0b0 -> ok -Test: t_wide_sp_mix_a6r0w1b0 -> ok -Test: t_wide_sp_mix_a6r0w1b1 -> ok -Test: t_wide_sp_mix_a6r0w2b0 -> ok -xprop_pmux_4_4: ok -xprop_pmux_4_4: ok -Test: t_wide_sp_mix_a6r0w2b2 -> ok -Test: t_wide_sp_mix_a6r0w3b2 -> ok -Test: t_wide_sp_mix_a6r0w4b2 -> ok -Test: t_wide_sp_mix_a7r0w0b0 -> ok -Test: t_wide_sp_mix_a6r0w5b2 -> ok -Test: t_wide_sp_mix_a7r1w0b0 -> ok -Test: t_wide_sp_mix_a7r2w0b0 -> ok -xprop_bwmux_3: ok -xprop_bwmux_3: ok -Test: t_wide_sp_mix_a7r3w0b0 -> ok -xprop_bweqx_1: ok -xprop_bweqx_1: ok -Test: t_wide_sp_mix_a7r4w0b0 -> ok -Test: t_wide_sp_mix_a7r0w1b0 -> ok -Test: t_wide_sp_mix_a7r5w0b0 -> ok -xprop_bweqx_3: ok -xprop_bweqx_3: ok -Test: t_wide_sp_mix_a7r0w2b0 -> ok -Test: t_wide_sp_mix_a7r0w1b1 -> ok Test: t_wide_sp_mix_a7r0w2b2 -> ok Test: t_wide_sp_mix_a7r0w3b2 -> ok -xprop_ff_1: ok -xprop_ff_1: ok -Test: t_wide_sp_mix_a7r0w4b2 -> ok -Test: t_wide_sp_tied_a6r1w1b1 -> ok +Test: t_wide_sp_tied_a6r0w0b0 -> ok Test: t_wide_sp_tied_a7r1w1b1 -> ok -xprop_ff_3: ok -Test: t_wide_sp_mix_a7r0w5b2 -> ok -xprop_ff_3: ok +Test: t_wide_sp_tied_a6r1w1b1 -> ok Test: t_wide_sp_tied_a8r1w1b1 -> ok -Test: t_wide_sp_tied_a6r0w0b0 -> ok +Test: t_wide_sp_mix_a7r0w4b2 -> ok +Test: t_wide_sp_tied_a6r3w0b0 -> ok Test: t_wide_sp_tied_a6r1w0b0 -> ok Test: t_wide_sp_tied_a6r2w0b0 -> ok -Test: t_wide_sp_tied_a6r3w0b0 -> ok -xprop_dff_1pd: ok -xprop_dff_1pd: ok -Test: t_wide_sp_tied_a6r4w0b0 -> ok Test: t_wide_sp_tied_a6r0w1b0 -> ok -Test: t_wide_sp_tied_a6r0w2b0 -> ok -Test: t_wide_sp_tied_a6r5w0b0 -> ok +Test: t_wide_sp_tied_a6r4w0b0 -> ok +Test: t_wide_sp_mix_a7r0w5b2 -> ok Test: t_wide_sp_tied_a6r0w1b1 -> ok -xprop_dff_1nd: ok -xprop_dff_1nd: ok -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Test: t_wide_sp_tied_a6r0w4b2 -> ok -Test: t_wide_sp_tied_a6r0w3b2 -> ok +Test: t_wide_sp_tied_a6r5w0b0 -> ok Test: t_wide_sp_tied_a6r0w2b2 -> ok -Test: t_wide_sp_tied_a7r0w0b0 -> ok -Passed xilinx-dsp_abc9.ys +Test: t_wide_sp_tied_a6r0w2b0 -> ok Test: t_wide_sp_tied_a7r1w0b0 -> ok -Test: t_wide_sp_tied_a7r2w0b0 -> ok -Test: t_wide_sp_tied_a6r0w5b2 -> ok +Test: t_wide_sp_tied_a6r0w3b2 -> ok +Test: t_wide_sp_tied_a7r0w0b0 -> ok Test: t_wide_sp_tied_a7r3w0b0 -> ok +Test: t_wide_sp_tied_a7r2w0b0 -> ok +Test: t_wide_sp_tied_a6r0w4b2 -> ok Test: t_wide_sp_tied_a7r4w0b0 -> ok -xprop_dff_3pd: ok -xprop_dff_3pd: ok Test: t_wide_sp_tied_a7r0w1b0 -> ok +Test: t_wide_sp_tied_a6r0w5b2 -> ok Test: t_wide_sp_tied_a7r5w0b0 -> ok Test: t_wide_sp_tied_a7r0w1b1 -> ok Test: t_wide_sp_tied_a7r0w2b0 -> ok -xprop_dff_3nd: ok -xprop_dff_3nd: ok Test: t_wide_sp_tied_a7r0w2b2 -> ok Test: t_wide_sp_tied_a7r0w3b2 -> ok -Test: t_wide_sp_tied_a7r0w4b2 -> ok -Passed xilinx-dffs.ys -Test: t_wide_sp_tied_a7r0w5b2 -> ok -xprop_dffe_1pnd: ok Test: t_wide_read_a6r1w1b1 -> ok -xprop_dffe_1pnd: ok -Test: t_wide_write_a6r1w1b1 -> ok Test: t_wide_read_a7r1w1b1 -> ok -xprop_dffe_1nnd: ok -xprop_dffe_1nnd: ok +Test: t_wide_write_a6r1w1b1 -> ok Test: t_wide_write_a7r1w1b1 -> ok -Test: t_wide_read_a6r0w0b0 -> ok -Test: t_wide_write_a8r1w1b1 -> ok +Test: t_wide_sp_tied_a7r0w4b2 -> ok Test: t_wide_read_a8r1w1b1 -> ok +Test: t_wide_write_a8r1w1b1 -> ok +Test: t_wide_read_a6r0w0b0 -> ok +Test: t_wide_read_a6r1w0b0 -> ok +Test: t_wide_sp_tied_a7r0w5b2 -> ok Test: t_wide_write_a6r0w0b0 -> ok -xprop_dffe_3pnd: ok -xprop_dffe_3pnd: ok Test: t_wide_read_a6r2w0b0 -> ok -Test: t_wide_read_a6r1w0b0 -> ok Test: t_wide_write_a6r1w0b0 -> ok Test: t_wide_write_a6r2w0b0 -> ok Test: t_wide_read_a6r3w0b0 -> ok Test: t_wide_write_a6r3w0b0 -> ok -xprop_dffe_1ppd: ok -xprop_dffe_1ppd: ok -xprop_dffe_3nnd: ok -xprop_dffe_3nnd: ok Test: t_wide_read_a6r4w0b0 -> ok Test: t_wide_write_a6r4w0b0 -> ok Test: t_wide_read_a6r5w0b0 -> ok -xprop_dffe_1npd: ok -xprop_dffe_1npd: ok -Test: t_wide_write_a6r5w0b0 -> ok +...passed tests in tests/cxxrtl Test: t_wide_read_a6r0w1b0 -> ok -Test: t_wide_write_a6r0w1b1 -> ok Test: t_wide_write_a6r0w1b0 -> ok Test: t_wide_read_a6r0w1b1 -> ok +Test: t_wide_read_a6r0w2b2 -> ok +Test: t_wide_write_a6r0w1b1 -> ok Test: t_wide_read_a6r0w2b0 -> ok +Test: t_wide_write_a6r5w0b0 -> ok Test: t_wide_write_a6r0w2b0 -> ok -Passed xilinx-dsp_fastfir.ys -Test: t_wide_read_a6r0w3b2 -> ok -Test: t_wide_read_a6r0w2b2 -> ok Test: t_wide_write_a6r0w2b2 -> ok -Test: t_wide_write_a6r0w3b2 -> ok -xprop_dffe_3ppd: ok -xprop_dffe_3ppd: ok -xprop_dffe_3npd: ok -xprop_dffe_3npd: ok -done -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/xprop' -...passed tests in tests/xprop -Test: t_wide_write_a6r0w4b2 -> ok Test: t_wide_read_a6r0w4b2 -> ok -Test: t_wide_read_a7r0w0b0 -> ok -Test: t_wide_write_a7r0w0b0 -> ok Test: t_wide_write_a6r0w5b2 -> ok +Test: t_wide_write_a7r0w0b0 -> ok +Test: t_wide_write_a6r0w4b2 -> ok Test: t_wide_read_a6r0w5b2 -> ok -Test: t_wide_read_a7r1w0b0 -> ok +Test: t_wide_read_a7r0w0b0 -> ok +Test: t_wide_write_a6r0w3b2 -> ok +Test: t_wide_read_a6r0w3b2 -> ok Test: t_wide_write_a7r1w0b0 -> ok -Test: t_wide_read_a7r2w0b0 -> ok -Test: t_wide_write_a7r2w0b0 -> ok +Test: t_wide_read_a7r0w1b1 -> ok +Test: t_wide_write_a7r0w1b1 -> ok Test: t_wide_read_a7r3w0b0 -> ok -Test: t_wide_write_a7r3w0b0 -> ok Test: t_wide_read_a7r4w0b0 -> ok -Test: t_wide_write_a7r4w0b0 -> ok +Test: t_wide_read_a7r2w0b0 -> ok +Test: t_wide_write_a7r3w0b0 -> ok +Test: t_wide_write_a7r2w0b0 -> ok +Test: t_wide_read_a7r1w0b0 -> ok +Test: t_wide_read_a7r0w2b0 -> ok Test: t_wide_read_a7r5w0b0 -> ok -Test: t_wide_write_a7r5w0b0 -> ok Test: t_wide_write_a7r0w1b0 -> ok Test: t_wide_read_a7r0w1b0 -> ok -Test: t_wide_read_a7r0w1b1 -> ok -Test: t_wide_write_a7r0w1b1 -> ok +Test: t_wide_write_a7r5w0b0 -> ok +Test: t_wide_write_a7r4w0b0 -> ok Test: t_wide_write_a7r0w2b0 -> ok -Test: t_wide_read_a7r0w2b0 -> ok -Test: t_wide_read_a7r0w2b2 -> ok -Test: t_wide_write_a7r0w2b2 -> ok -Test: t_wide_write_a7r0w3b2 -> ok -Test: t_wide_read_a7r0w3b2 -> ok -Test: t_wide_read_a7r0w4b2 -> ok -Test: t_wide_write_a7r0w4b2 -> ok -Test: t_wide_read_a7r0w5b2 -> ok -Test: t_quad_port_a2d2 -> ok -Test: t_quad_port_a5d2 -> ok Test: t_quad_port_a4d2 -> ok -Test: t_wide_write_a7r0w5b2 -> ok +Test: t_quad_port_a4d8 -> ok +Test: t_wide_read_a7r0w3b2 -> ok Test: t_quad_port_a4d4 -> ok +Test: t_wide_write_a7r0w3b2 -> ok +Test: t_wide_write_a7r0w4b2 -> ok Test: t_quad_port_a6d2 -> ok -Test: t_quad_port_a4d8 -> ok +Test: t_quad_port_a5d2 -> ok +Test: t_wide_read_a7r0w4b2 -> ok +Test: t_wide_read_a7r0w2b2 -> ok +Test: t_quad_port_a2d2 -> ok Test: t_wide_quad_a4w2r1 -> ok -Test: t_wide_quad_a4w2r2 -> ok +Test: t_wide_write_a7r0w2b2 -> ok +Test: t_wide_read_a7r0w5b2 -> ok +Test: t_wide_write_a7r0w5b2 -> ok +Test: t_wide_oct_a4w2r4 -> ok +Test: t_wide_quad_a4w2r4 -> ok +Test: t_wide_quad_a4w2r8 -> ok Test: t_wide_quad_a4w2r3 -> ok +Test: t_wide_quad_a4w2r6 -> ok Test: t_wide_oct_a4w2r2 -> ok Test: t_wide_oct_a4w2r1 -> ok -Test: t_wide_oct_a4w2r3 -> ok -Test: t_wide_quad_a4w2r4 -> ok -Test: t_wide_oct_a4w2r4 -> ok -Test: t_wide_quad_a4w2r5 -> ok -Passed xilinx-dsp_simd.ys Test: t_wide_oct_a4w2r5 -> ok -Test: t_wide_quad_a4w2r6 -> ok +Test: t_wide_quad_a4w2r5 -> ok +Test: t_wide_quad_a4w2r2 -> ok +Test: t_wide_oct_a4w2r7 -> ok +Test: t_wide_oct_a4w2r3 -> ok Test: t_wide_quad_a4w2r7 -> ok Test: t_wide_oct_a4w2r6 -> ok -Test: t_wide_quad_a4w2r8 -> ok -Test: t_wide_oct_a4w2r7 -> ok Test: t_wide_oct_a4w2r8 -> ok +Test: t_wide_quad_a5w2r1 -> ok Test: t_wide_quad_a4w2r9 -> ok -Test: t_wide_oct_a4w2r9 -> ok -Test: t_wide_quad_a4w4r1 -> ok -Test: t_wide_oct_a4w4r4 -> ok Test: t_wide_oct_a4w4r1 -> ok -Passed xilinx-blockram.ys -Test: t_wide_quad_a4w4r6 -> ok +Test: t_wide_oct_a5w2r1 -> ok Test: t_wide_quad_a4w4r4 -> ok +Test: t_wide_quad_a5w2r4 -> ok +Test: t_wide_quad_a4w4r1 -> ok +Test: t_wide_quad_a4w4r6 -> ok +Test: t_wide_oct_a4w4r4 -> ok Test: t_wide_oct_a4w4r6 -> ok -Test: partsel -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/simple_abc9' -...passed tests in tests/simple_abc9 -Warning: Shift register inference not yet supported for family xc3se. Test: t_wide_quad_a4w4r9 -> ok -Test: t_wide_oct_a4w4r9 -> ok -Test: t_wide_oct_a5w2r1 -> ok -Test: t_wide_quad_a5w2r1 -> ok -Test: t_wide_quad_a5w2r4 -> ok +Test: t_wide_oct_a4w2r9 -> ok Test: t_wide_oct_a5w2r4 -> ok +Test: t_wide_oct_a4w4r9 -> ok Test: t_wide_quad_a5w2r9 -> ok -Test: t_no_reset -> ok -Test: t_gclken -> ok -Test: t_wide_oct_a5w2r9 -> ok -Test: t_ungated -> ok -Test: t_gclken_ce -> ok Test: t_grden -> ok +Test: t_exclwr -> ok +Test: t_no_reset -> ok +Test: t_wr_byte -> ok +Test: t_trans_byte -> ok +Test: t_rst_wr_byte -> ok +Test: t_trans_rst -> ok Test: t_excl_rst -> ok +Test: t_wide_oct_a5w2r9 -> ok Test: t_grden_ce -> ok +Test: t_gclken_ce -> ok Test: t_transwr -> ok -Test: t_exclwr -> ok -Test: t_trans_rst -> ok -Test: t_rst_wr_byte -> ok -Test: t_trans_byte -> ok +Test: t_ungated -> ok +Test: t_gclken -> ok Test: t_wr_rst_byte -> ok -Test: t_wr_byte -> ok -Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 -Test: t_rdenrst_wr_byte -> ok -Warning: Shift register inference not yet supported for family xc3se. Test: t_rom_case_block -> ok +Test: t_rdenrst_wr_byte -> ok Test: t_rom_case -> ok make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/memlib' ...passed tests in tests/memlib -Passed xilinx-opt_lut_ins.ys -Passed xilinx-logic.ys -Passed xilinx-fsm.ys -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Passed xilinx-shifter.ys -Warning: Resizing cell port priority_memory.mem.0.0.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.0.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.1.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.1.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.2.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.2.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.3.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.3.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.4.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.4.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.5.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.5.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.6.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.6.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.7.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.7.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.WEBWE from 4 bits to 8 bits. -Passed xilinx-mul.ys -Passed xilinx-nosrl.ys -Passed xilinx-xilinx_dsp.ys -Passed xilinx-xilinx_srl.ys -Passed xilinx-latches.ys -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Passed xilinx-macc.ys -Passed xilinx-pmgen_xilinx_srl.ys -Passed xilinx-tribuf.ys -Passed xilinx-xilinx_dffopt.ys -Warning: Resizing cell port priority_memory.mem.0.0.BWE_A from 8 bits to 9 bits. -Warning: Resizing cell port priority_memory.mem.0.0.BWE_B from 8 bits to 9 bits. -Passed xilinx-mux_lut4.ys -Passed xilinx-mul_unsigned.ys -Passed xilinx-tribuf.sh -Passed xilinx-mux.ys -Passed xilinx-macc.sh -Warning: Resizing cell port sp_write_first.mem.0.0.BWE_A from 8 bits to 9 bits. -Warning: Resizing cell port sp_read_first.mem.0.0.BWE_B from 8 bits to 9 bits. -Passed xilinx-priority_memory.ys -Warning: Shift register inference not yet supported for family xc3s. -Passed xilinx-lutram.ys -Passed xilinx-dsp_cascade.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/xilinx' -...passed tests in tests/arch/xilinx +Test: partsel -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/simple_abc9' +...passed tests in tests/simple_abc9 Passed "make test". make[2]: Leaving directory '/build/reproducible-path/yosys-0.52' - rm -fr -- /tmp/dh-xdg-rundir-XB4sgqEf + rm -fr -- /tmp/dh-xdg-rundir-Ue9xrzZg make[1]: Leaving directory '/build/reproducible-path/yosys-0.52' create-stamp debian/debhelper-build-stamp dh_prep @@ -64461,7 +65745,7 @@ rm -fr -- debian/.debhelper/generated/yosys/ debian/yosys/ debian/tmp/ debian/.debhelper/generated/yosys-dev/ debian/yosys-dev/ debian/.debhelper/generated/yosys-abc/ debian/yosys-abc/ debian/.debhelper/generated/yosys-doc/ debian/yosys-doc/ dh_auto_install install -m0755 -d /build/reproducible-path/yosys-0.52/debian/tmp - make -j12 install DESTDIR=/build/reproducible-path/yosys-0.52/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" + make -j16 install DESTDIR=/build/reproducible-path/yosys-0.52/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[1]: Entering directory '/build/reproducible-path/yosys-0.52' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: @@ -64526,6 +65810,8 @@ cd debian/man ; ./genmanpages.sh make[1]: Leaving directory '/build/reproducible-path/yosys-0.52' dh_installman + install -m0755 -d debian/yosys-dev/usr/share/man/man1/ + install -p -m0644 ./debian/yosys-config.1 debian/yosys-dev/usr/share/man/man1/yosys-config.1 install -m0755 -d debian/yosys/usr/share/man/man1/ install -p -m0644 ./debian/yosys.1 debian/yosys/usr/share/man/man1/yosys.1 install -m0755 -d debian/yosys/usr/share/man/man1/ @@ -64536,24 +65822,22 @@ install -p -m0644 ./debian/man/yosys-witness.1 debian/yosys/usr/share/man/man1/yosys-witness.1 install -m0755 -d debian/yosys-abc/usr/share/man/man1/ install -p -m0644 ./debian/man/yosys-abc.1 debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - install -m0755 -d debian/yosys-dev/usr/share/man/man1/ - install -p -m0644 ./debian/yosys-config.1 debian/yosys-dev/usr/share/man/man1/yosys-config.1 man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1 man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1 + man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 mv debian/yosys/usr/share/man/man1/yosys-witness.1.dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-witness.1 + mv debian/yosys/usr/share/man/man1/yosys-filterlib.1.dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 + chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-filterlib.1 mv debian/yosys/usr/share/man/man1/yosys-smtbmc.1.dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1 chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-smtbmc.1 - mv debian/yosys-dev/usr/share/man/man1/yosys-config.1.dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 - chmod 0644 -- debian/yosys-dev/usr/share/man/man1/yosys-config.1 mv debian/yosys/usr/share/man/man1/yosys.1.dh-new debian/yosys/usr/share/man/man1/yosys.1 chmod 0644 -- debian/yosys/usr/share/man/man1/yosys.1 - mv debian/yosys/usr/share/man/man1/yosys-filterlib.1.dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 - chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-filterlib.1 + mv debian/yosys-dev/usr/share/man/man1/yosys-config.1.dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 + chmod 0644 -- debian/yosys-dev/usr/share/man/man1/yosys-config.1 mv debian/yosys-abc/usr/share/man/man1/yosys-abc.1.dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1 chmod 0644 -- debian/yosys-abc/usr/share/man/man1/yosys-abc.1 dh_python3 @@ -64565,10 +65849,10 @@ D: dh_python3 debhelper:166: skipping package yosys-doc (missing ${python3:Depends} in Depends/Recommends) D: dh_python3 debhelper:174: source=yosys, binary packages=['yosys', 'yosys-dev'] D: dh_python3 dh_python3:205: processing package yosys... +I: dh_python3 tools:114: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc +D: dh_python3 tools:101: fix_shebang (debian/yosys/usr/bin/yosys-filterlib): cannot parse binary file D: dh_python3 tools:101: fix_shebang (debian/yosys/usr/bin/yosys): cannot parse binary file I: dh_python3 tools:114: replacing shebang in debian/yosys/usr/bin/yosys-witness -D: dh_python3 tools:101: fix_shebang (debian/yosys/usr/bin/yosys-filterlib): cannot parse binary file -I: dh_python3 tools:114: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc D: dh_python3 fs:338: package yosys details = {'requires.txt': set(), 'egg-info': set(), 'dist-info': set(), 'nsp.txt': set(), 'shebangs': {/usr/bin/python3, /usr/bin/python3}, 'public_vers': set(), 'private_dirs': {'/usr/share/yosys': {'compile': True}}, 'compile': False, 'ext_vers': set(), 'ext_no_version': set()} D: dh_python3 depends:103: generating dependencies for package yosys D: dh_python3 depends:253: D={'python3:any'}; R=[]; S=[]; E=[], B=[]; RT=[('/usr/share/yosys', '')] @@ -64586,18 +65870,18 @@ debian/rules override_dh_compress make[1]: Entering directory '/build/reproducible-path/yosys-0.52' dh_compress --exclude=.pdf - cd debian/yosys cd debian/yosys-dev cd debian/yosys-abc + cd debian/yosys cd debian/yosys-doc + chmod a-x usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 + chmod a-x usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 chmod a-x usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian chmod a-x usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1 - chmod a-x usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 - chmod a-x usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 + gzip -9nf usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 + gzip -9nf usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 gzip -9nf usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian gzip -9nf usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1 - gzip -9nf usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 - gzip -9nf usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 cd '/build/reproducible-path/yosys-0.52' cd '/build/reproducible-path/yosys-0.52' cd '/build/reproducible-path/yosys-0.52' @@ -64608,23 +65892,23 @@ find debian/yosys-dev ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/yosys-abc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/yosys-doc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s - find debian/yosys-dev/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-dev/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-abc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-abc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-doc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-doc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/yosys-dev/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-dev/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-dev/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/yosys-abc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/yosys-doc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/yosys/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys-dev/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-abc/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-doc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/yosys-dev/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-abc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/yosys-dev -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/yosys-abc/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x + find debian/yosys-dev/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/yosys/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x dh_missing dh_dwz -a @@ -64639,6 +65923,11 @@ dh_strip -a install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/20 objcopy --only-keep-debug --compress-debug-sections debian/yosys-abc/usr/bin/yosys-abc debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/20/a7256eb3bc3485b2924de1764e1dad5b6d91d4.debug + install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/39 + objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys-filterlib debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/39/a417718d13b5b9598cb512169a074c66fc48e2.debug + chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/39/a417718d13b5b9598cb512169a074c66fc48e2.debug + strip --remove-section=.comment --remove-section=.note debian/yosys/usr/bin/yosys-filterlib + objcopy --add-gnu-debuglink debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/39/a417718d13b5b9598cb512169a074c66fc48e2.debug debian/yosys/usr/bin/yosys-filterlib install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/32 objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/32/61517ad2e8033abc357242030b57f5fd417677.debug chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/20/a7256eb3bc3485b2924de1764e1dad5b6d91d4.debug @@ -64650,11 +65939,6 @@ chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/32/61517ad2e8033abc357242030b57f5fd417677.debug strip --remove-section=.comment --remove-section=.note debian/yosys/usr/bin/yosys objcopy --add-gnu-debuglink debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/32/61517ad2e8033abc357242030b57f5fd417677.debug debian/yosys/usr/bin/yosys - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/39 - objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys-filterlib debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/39/a417718d13b5b9598cb512169a074c66fc48e2.debug - chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/39/a417718d13b5b9598cb512169a074c66fc48e2.debug - strip --remove-section=.comment --remove-section=.note debian/yosys/usr/bin/yosys-filterlib - objcopy --add-gnu-debuglink debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/39/a417718d13b5b9598cb512169a074c66fc48e2.debug debian/yosys/usr/bin/yosys-filterlib install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/share/doc ln -s yosys debian/.debhelper/yosys/dbgsym-root/usr/share/doc/yosys-dbgsym install -m0755 -d debian/.debhelper/yosys @@ -64666,7 +65950,7 @@ install -m0755 -d debian/yosys-abc/DEBIAN dpkg-shlibdeps -Tdebian/yosys-abc.substvars debian/yosys-abc/usr/bin/yosys-abc install -m0755 -d debian/yosys/DEBIAN - dpkg-shlibdeps -Tdebian/yosys.substvars debian/yosys/usr/bin/yosys debian/yosys/usr/bin/yosys-filterlib + dpkg-shlibdeps -Tdebian/yosys.substvars debian/yosys/usr/bin/yosys-filterlib debian/yosys/usr/bin/yosys dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libreadline8t64 from: /lib/x86_64-linux-gnu/libreadline.so.8 dpkg-shlibdeps: warning: diversions involved - output may be incorrect @@ -64688,11 +65972,6 @@ install -m0755 -d debian/yosys-abc/DEBIAN install -m0755 -d debian/yosys-doc/DEBIAN dh_gencontrol - install -m0755 -d debian/yosys/DEBIAN - echo misc:Depends= >> debian/yosys.substvars - echo misc:Pre-Depends= >> debian/yosys.substvars - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/DEBIAN - dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -cdebian/control -Pdebian/.debhelper/yosys/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=yosys-dbgsym "-DDepends=yosys (= \${binary:Version})" "-DDescription=debug symbols for yosys" "-DBuild-Ids=3261517ad2e8033abc357242030b57f5fd417677 39a417718d13b5b9598cb512169a074c66fc48e2" -DSection=debug -UMulti-Arch -UReplaces -UBreaks install -m0755 -d debian/yosys-abc/DEBIAN echo misc:Depends= >> debian/yosys-abc.substvars echo misc:Pre-Depends= >> debian/yosys-abc.substvars @@ -64702,17 +65981,22 @@ echo misc:Depends= >> debian/yosys-doc.substvars echo misc:Pre-Depends= >> debian/yosys-doc.substvars dpkg-gencontrol -pyosys-doc -ldebian/changelog -Tdebian/yosys-doc.substvars -cdebian/control -Pdebian/yosys-doc + install -m0755 -d debian/yosys/DEBIAN + echo misc:Depends= >> debian/yosys.substvars + echo misc:Pre-Depends= >> debian/yosys.substvars + install -m0755 -d debian/.debhelper/yosys/dbgsym-root/DEBIAN + dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -cdebian/control -Pdebian/.debhelper/yosys/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=yosys-dbgsym "-DDepends=yosys (= \${binary:Version})" "-DDescription=debug symbols for yosys" "-DBuild-Ids=3261517ad2e8033abc357242030b57f5fd417677 39a417718d13b5b9598cb512169a074c66fc48e2" -DSection=debug -UMulti-Arch -UReplaces -UBreaks install -m0755 -d debian/yosys-dev/DEBIAN echo misc:Depends= >> debian/yosys-dev.substvars echo misc:Pre-Depends= >> debian/yosys-dev.substvars dpkg-gencontrol -pyosys-dev -ldebian/changelog -Tdebian/yosys-dev.substvars -cdebian/control -Pdebian/yosys-dev + chmod 0644 -- debian/yosys-doc/DEBIAN/control dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${shlibs:Depends} used, but is not defined dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN/control dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -cdebian/control -Pdebian/yosys-abc chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/control dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -cdebian/control -Pdebian/yosys - chmod 0644 -- debian/yosys-doc/DEBIAN/control chmod 0644 -- debian/yosys-dev/DEBIAN/control chmod 0644 -- debian/yosys-abc/DEBIAN/control chmod 0644 -- debian/yosys/DEBIAN/control @@ -64721,10 +66005,10 @@ install -m0755 -d debian/yosys-dev/DEBIAN install -m0755 -d debian/yosys-abc/DEBIAN install -m0755 -d debian/yosys-doc/DEBIAN - cd debian/yosys-abc >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - cd debian/yosys-dev >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums cd debian/yosys >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums cd debian/yosys-doc >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums + cd debian/yosys-abc >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums + cd debian/yosys-dev >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/yosys-dev/DEBIAN/md5sums chmod 0644 -- debian/yosys-doc/DEBIAN/md5sums chmod 0644 -- debian/yosys-abc/DEBIAN/md5sums @@ -64737,17 +66021,17 @@ chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/md5sums dh_builddeb dpkg-deb --root-owner-group --build debian/yosys .. - dpkg-deb --root-owner-group --build debian/yosys-dev .. dpkg-deb --root-owner-group --build debian/.debhelper/yosys/dbgsym-root .. - dpkg-deb --root-owner-group --build debian/.debhelper/yosys-abc/dbgsym-root .. + dpkg-deb --root-owner-group --build debian/yosys-dev .. dpkg-deb --root-owner-group --build debian/yosys-abc .. + dpkg-deb --root-owner-group --build debian/.debhelper/yosys-abc/dbgsym-root .. dpkg-deb --root-owner-group --build debian/yosys-doc .. +dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.52-2_amd64.deb'. +dpkg-deb: building package 'yosys' in '../yosys_0.52-2_amd64.deb'. dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.52-2_amd64.deb'. dpkg-deb: building package 'yosys-abc' in '../yosys-abc_0.52-2_amd64.deb'. -dpkg-deb: building package 'yosys-abc-dbgsym' in '../yosys-abc-dbgsym_0.52-2_amd64.deb'. -dpkg-deb: building package 'yosys' in '../yosys_0.52-2_amd64.deb'. -dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.52-2_amd64.deb'. dpkg-deb: building package 'yosys-doc' in '../yosys-doc_0.52-2_all.deb'. +dpkg-deb: building package 'yosys-abc-dbgsym' in '../yosys-abc-dbgsym_0.52-2_amd64.deb'. dpkg-genbuildinfo --build=binary -O../yosys_0.52-2_amd64.buildinfo dpkg-genchanges --build=binary -O../yosys_0.52-2_amd64.changes dpkg-genchanges: info: binary-only upload (no source code included) @@ -64756,12 +66040,14 @@ dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: not including original source code in upload I: copying local configuration +I: user script /srv/workspace/pbuilder/938318/tmp/hooks/B01_cleanup starting +I: user script /srv/workspace/pbuilder/938318/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env -I: removing directory /srv/workspace/pbuilder/3728122 and its subdirectories -I: Current time: Thu Jul 17 16:09:33 -12 2025 -I: pbuilder-time-stamp: 1752811773 +I: removing directory /srv/workspace/pbuilder/938318 and its subdirectories +I: Current time: Fri Aug 21 00:55:10 +14 2026 +I: pbuilder-time-stamp: 1787223310