Diff of the two buildlogs: -- --- b1/build.log 2025-03-19 09:12:52.662449204 +0000 +++ b2/build.log 2025-03-19 09:56:57.435222801 +0000 @@ -1,6 +1,6 @@ I: pbuilder: network access will be disabled during build -I: Current time: Tue Mar 18 20:07:10 -12 2025 -I: pbuilder-time-stamp: 1742371630 +I: Current time: Wed Apr 22 05:35:58 +14 2026 +I: pbuilder-time-stamp: 1776785758 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/unstable-reproducible-base.tgz] I: copying local configuration @@ -46,52 +46,84 @@ dpkg-source: info: applying 0032-Remove-image-links-to-github.patch I: Not using root during the build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/1320837/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/840914/tmp/hooks/D01_modify_environment starting +debug: Running on ionos15-amd64. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +'/bin/sh' -> '/bin/bash' +lrwxrwxrwx 1 root root 9 Apr 21 15:36 /bin/sh -> /bin/bash +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/840914/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/840914/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build/reproducible-path' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='amd64' - DEBIAN_FRONTEND='noninteractive' - DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=20 ' - DISTRIBUTION='unstable' - HOME='/root' - HOST_ARCH='amd64' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="2" [2]="37" [3]="1" [4]="release" [5]="x86_64-pc-linux-gnu") + BASH_VERSION='5.2.37(1)-release' + BUILDDIR=/build/reproducible-path + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=amd64 + DEBIAN_FRONTEND=noninteractive + DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=42 ' + DIRSTACK=() + DISTRIBUTION=unstable + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=x86_64 + HOST_ARCH=amd64 IFS=' ' - INVOCATION_ID='402a75fcf68a468689281e3c2221f37f' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='1320837' - PS1='# ' - PS2='> ' + INVOCATION_ID=f0383681456e409493870b6033280552 + LANG=C + LANGUAGE=et_EE:et + LC_ALL=C + MACHTYPE=x86_64-pc-linux-gnu + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnu + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=840914 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.sLOJjhz4/pbuilderrc_SJiM --distribution unstable --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/unstable-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.sLOJjhz4/b1 --logfile b1/build.log yosys_0.51-1.dsc' - SUDO_GID='110' - SUDO_UID='105' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' - http_proxy='http://46.16.76.132:3128' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.sLOJjhz4/pbuilderrc_nmsE --distribution unstable --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/unstable-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.sLOJjhz4/b2 --logfile b2/build.log yosys_0.51-1.dsc' + SUDO_GID=111 + SUDO_UID=106 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' + http_proxy=http://213.165.73.152:3128 I: uname -a - Linux ionos1-amd64 6.1.0-32-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.129-1 (2025-03-06) x86_64 GNU/Linux + Linux i-capture-the-hostname 6.12.12+bpo-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.12.12-1~bpo12+1 (2025-02-23) x86_64 GNU/Linux I: ls -l /bin - lrwxrwxrwx 1 root root 7 Mar 4 11:20 /bin -> usr/bin -I: user script /srv/workspace/pbuilder/1320837/tmp/hooks/D02_print_environment finished + lrwxrwxrwx 1 root root 7 Mar 4 2025 /bin -> usr/bin +I: user script /srv/workspace/pbuilder/840914/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -534,7 +566,7 @@ Get: 331 http://deb.debian.org/debian unstable/main amd64 texlive-publishers all 2024.20250309-2 [22.8 MB] Get: 332 http://deb.debian.org/debian unstable/main amd64 texlive-science all 2024.20250309-2 [3937 kB] Get: 333 http://deb.debian.org/debian unstable/main amd64 txt2man all 1.7.1-4 [35.4 kB] -Fetched 1080 MB in 29s (37.0 MB/s) +Fetched 1080 MB in 1min 16s (14.1 MB/s) Preconfiguring packages ... Selecting previously unselected package libsystemd-shared:amd64. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19784 files and directories currently installed.) @@ -1621,8 +1653,8 @@ Setting up tzdata (2025a-2) ... Current default time zone: 'Etc/UTC' -Local time is now: Wed Mar 19 08:10:45 UTC 2025. -Universal Time is now: Wed Mar 19 08:10:45 UTC 2025. +Local time is now: Tue Apr 21 15:52:53 UTC 2026. +Universal Time is now: Tue Apr 21 15:52:53 UTC 2026. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up liberror-perl (0.17030-1) ... @@ -1946,7 +1978,11 @@ Building tag database... -> Finished parsing the build-deps I: Building the package -I: Running cd /build/reproducible-path/yosys-0.51/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../yosys_0.51-1_source.changes +I: user script /srv/workspace/pbuilder/840914/tmp/hooks/A99_set_merged_usr starting +Not re-configuring usrmerge for unstable +I: user script /srv/workspace/pbuilder/840914/tmp/hooks/A99_set_merged_usr finished +hostname: Name or service not known +I: Running cd /build/reproducible-path/yosys-0.51/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../yosys_0.51-1_source.changes dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.51-1 dpkg-buildpackage: info: source distribution unstable @@ -1957,7 +1993,7 @@ debian/rules clean PREFIX=/usr dh clean --with=python3 dh_auto_clean - make -j20 clean + make -j42 clean make[1]: Entering directory '/build/reproducible-path/yosys-0.51' rm -rf share rm -rf kernel/*.pyh @@ -1978,52 +2014,52 @@ make[2]: Entering directory '/build/reproducible-path/yosys-0.51/docs' make -C source/code_examples/extensions clean make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' -rm -f *.d *.so *.dot make -C source/code_examples/fifo clean -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' -rm -f *.dot make -C source/code_examples/intro clean -rm -f fifo.out fifo.stat -make -C source/code_examples/macc clean +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' -rm -f *.dot -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' rm -f *.dot +make -C source/code_examples/macc clean +rm -f *.d *.so *.dot make -C source/code_examples/opt clean -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' rm -f *.dot make -C source/code_examples/scrambler clean +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' +rm -f *.dot make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' +rm -f *.dot make -C source/code_examples/selections clean -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' rm -f *.dot +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' make -C source/code_examples/show clean +rm -f fifo.out fifo.stat make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' rm -rf *.dot +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' make -C source/code_examples/stubnets clean +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' +make -C source/code_examples/synth_flow clean +make -C source/code_examples/techmap clean make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' rm -rf *.dot -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' -rm -f sumprod.out -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' -make -C source/code_examples/synth_flow clean +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' +rm -f example.out make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' rm -f test1.log test2.log test3.log +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' rm -f *.dot -make -C source/code_examples/techmap clean -rm -f stubnets.so stubnets.d -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' rm -f *.dot -rm -f example.out -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' +rm -f stubnets.so stubnets.d +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' +rm -f sumprod.out make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' rm -rf build/* rm -rf source/cmd util/__pycache__ rm -rf source/generated @@ -2087,7 +2123,7 @@ debian/rules override_dh_auto_build-arch make[1]: Entering directory '/build/reproducible-path/yosys-0.51' dh_auto_build -- all - make -j20 "INSTALL=install --strip-program=true" all + make -j42 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/build/reproducible-path/yosys-0.51' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: @@ -2096,55 +2132,53 @@ mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420)\"; }" > kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.cc g++ -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p techlibs/common -python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mkdir -p techlibs/common +python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new +mkdir -p kernel/ python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ g++ -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc +g++ -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ mkdir -p kernel/ -g++ -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc g++ -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ g++ -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc -mkdir -p kernel/ g++ -o kernel/binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ -g++ -o kernel/tclapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/tclapi.cc mkdir -p kernel/ +g++ -o kernel/tclapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/tclapi.cc g++ -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ -g++ -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ -g++ -o kernel/cost.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cost.cc mkdir -p kernel/ +g++ -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc +g++ -o kernel/cost.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cost.cc g++ -o kernel/satgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ +mkdir -p kernel/ g++ -o kernel/scopeinfo.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/scopeinfo.cc mkdir -p kernel/ g++ -o kernel/qcsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc -mkdir -p kernel/ g++ -o kernel/mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ +mkdir -p kernel/ g++ -o kernel/ffmerge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc mkdir -p kernel/ g++ -o kernel/ff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc -mkdir -p kernel/ g++ -o kernel/yw.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc -mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc -'abc' comes from a tarball. Continuing. -mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ -g++ -o kernel/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc mkdir -p kernel/ +g++ -o kernel/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc mkdir -p kernel/ g++ -o kernel/fmt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc +mkdir -p kernel/ g++ -o kernel/sexpr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/sexpr.cc mkdir -p kernel/ g++ -o kernel/drivertools.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/drivertools.cc mkdir -p kernel/ g++ -o kernel/functional.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/functional.cc -mkdir -p kernel/ +mkdir -p libs/bigint/ g++ -o kernel/fstdata.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc @@ -2154,34 +2188,36 @@ g++ -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc -mkdir -p libs/bigint/ -g++ -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ -g++ -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ +g++ -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc +g++ -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp g++ -o libs/json11/json11.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/ezsat/ -g++ -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ -g++ -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ +g++ -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc +mkdir -p libs/minisat/ +g++ -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc g++ -o libs/minisat/Options.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ g++ -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc mkdir -p libs/minisat/ +mkdir -p libs/fst/ g++ -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc -mkdir -p libs/minisat/ -g++ -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p libs/fst/ +g++ -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc g++ -o libs/fst/fstapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ g++ -o libs/fst/fastlz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc -mkdir -p libs/fst/ g++ -o libs/fst/lz4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc +'abc' comes from a tarball. Continuing. mkdir -p libs/subcircuit/ g++ -o libs/subcircuit/subcircuit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc +mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p frontends/aiger/ g++ -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc +mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p frontends/aiger2/ g++ -o frontends/aiger2/xaiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger2/xaiger.cc mkdir -p frontends/ast/ @@ -2287,8 +2323,8 @@ mkdir -p passes/cmds/ g++ -o passes/cmds/chtype.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ -g++ -o passes/cmds/blackbox.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ +g++ -o passes/cmds/blackbox.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc g++ -o passes/cmds/ltp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ g++ -o passes/cmds/bugpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc @@ -2331,8 +2367,8 @@ mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ -g++ -o passes/equiv/equiv_remove.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ +g++ -o passes/equiv/equiv_remove.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc g++ -o passes/equiv/equiv_induct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_struct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc @@ -2437,8 +2473,8 @@ mkdir -p passes/memory/ g++ -o passes/memory/memory_libmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ -g++ -o passes/memory/memory_bmux2rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ +g++ -o passes/memory/memory_bmux2rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc g++ -o passes/memory/memlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc mkdir -p passes/opt/ g++ -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc @@ -2515,8 +2551,8 @@ mkdir -p passes/sat/ g++ -o passes/sat/eval.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ -g++ -o passes/sat/sim.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ +g++ -o passes/sat/sim.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc g++ -o passes/sat/miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ g++ -o passes/sat/expose.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc @@ -2773,7 +2809,7 @@ mkdir -p techlibs/xilinx/ && python3 passes/pmgen/pmgen.py -o techlibs/xilinx/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade techlibs/xilinx/xilinx_dsp_cascade.pmg mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/xilinx_srl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_srl.cc -sed -e 's#@CXXFLAGS@#-g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I/usr/share/yosys/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=#;' \ +sed -e 's#@CXXFLAGS@#-g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I/usr/share/yosys/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\0.51\ -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#g++#;' -e 's#@LINKFLAGS@#-rdynamic#;' -e 's#@LIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config @@ -2783,12 +2819,12 @@ chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/witness.py > yosys-witness.new -chmod +x yosys-witness.new -mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ +chmod +x yosys-witness.new cp "./"/kernel/bitpattern.h share/include/kernel/bitpattern.h +mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h mkdir -p share/include/kernel/ @@ -2810,16 +2846,16 @@ mkdir -p share/include/kernel/ cp "./"/kernel/ffmerge.h share/include/kernel/ffmerge.h mkdir -p share/include/kernel/ -cp "./"/kernel/fmt.h share/include/kernel/fmt.h mkdir -p share/include/kernel/ +cp "./"/kernel/fmt.h share/include/kernel/fmt.h cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ -cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ +cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h cp "./"/kernel/json.h share/include/kernel/json.h mkdir -p share/include/kernel/ -cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ +cp "./"/kernel/log.h share/include/kernel/log.h cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h @@ -2828,12 +2864,12 @@ mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ -cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ +cp "./"/kernel/register.h share/include/kernel/register.h cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ -cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ +cp "./"/kernel/satgen.h share/include/kernel/satgen.h cp "./"/kernel/scopeinfo.h share/include/kernel/scopeinfo.h mkdir -p share/include/kernel/ cp "./"/kernel/sexpr.h share/include/kernel/sexpr.h @@ -2842,8 +2878,8 @@ mkdir -p share/include/kernel/ cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h mkdir -p share/include/kernel/ -cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ +cp "./"/kernel/utils.h share/include/kernel/utils.h cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys_common.h share/include/kernel/yosys_common.h @@ -2856,21 +2892,21 @@ mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ -cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ +cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ -cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ +cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ -cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h +cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ @@ -2882,46 +2918,46 @@ mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ -cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ +cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h mkdir -p share/python3 -cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 +cp "./"/backends/smt2/smtio.py share/python3/smtio.py cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/achronix/speedster22i/ -cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v +cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic -cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic +cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic -cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic +cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic -cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share +cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share -cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share +cp "./"/techlibs/common/smtmap.v share/smtmap.v cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share -cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share +cp "./"/techlibs/common/adff2dff.v share/adff2dff.v cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v @@ -2936,36 +2972,36 @@ mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share -mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v -cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share +mkdir -p share +cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v mkdir -p share/choices -cp "./"/techlibs/common/choices/kogge-stone.v share/choices/kogge-stone.v mkdir -p share/choices +cp "./"/techlibs/common/choices/kogge-stone.v share/choices/kogge-stone.v cp "./"/techlibs/common/choices/han-carlson.v share/choices/han-carlson.v mkdir -p share/choices cp "./"/techlibs/common/choices/sklansky.v share/choices/sklansky.v mkdir -p share/coolrunner2 -cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 +cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 -cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 +cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 -cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 -cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 +cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh +cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 -cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 +cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v @@ -2974,145 +3010,145 @@ mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 -cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 +cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 -cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 +cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v mkdir -p share/efinix -mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v -cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix +mkdir -p share/efinix +cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix -mkdir -p share/fabulous cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt +mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v mkdir -p share/fabulous -cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous -cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous +cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v +cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous -cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous +cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous -cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/gatemate +cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate -cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate +cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate +mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v -mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate -cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh mkdir -p share/gatemate +cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh mkdir -p share/gatemate -cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate +cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v python3 techlibs/gatemate/make_lut_tree_lib.py mkdir -p share/gowin -cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin -cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin +cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v +cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v cp "./"/techlibs/gowin/cells_xtra_gw1n.v share/gowin/cells_xtra_gw1n.v mkdir -p share/gowin +touch techlibs/gatemate/lut_tree_lib.mk cp "./"/techlibs/gowin/cells_xtra_gw2a.v share/gowin/cells_xtra_gw2a.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra_gw5a.v share/gowin/cells_xtra_gw5a.v mkdir -p share/gowin -touch techlibs/gatemate/lut_tree_lib.mk cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin -cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin +cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin -cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin +cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/greenpak4 -cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 +cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v -cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 +cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 -cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 -cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 -cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 +cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v +cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v +cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 -cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 +cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 -cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 +cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/intel/common -cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common +cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common -mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common +mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v -cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 +cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv -cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive -mkdir -p share/intel/max10 +cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v +mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp mkdir -p share/intel/cycloneiv @@ -3123,62 +3159,62 @@ cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v -cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v +cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v -mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v -cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v +mkdir -p share/intel_alm/common mkdir -p share/intel_alm/cyclonev -cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v +cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common -cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common +cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common +mkdir -p share/lattice cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v +cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh mkdir -p share/lattice mkdir -p share/lattice -cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh -mkdir -p share/lattice cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh mkdir -p share/lattice -cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh mkdir -p share/lattice +cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v mkdir -p share/lattice -cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v mkdir -p share/lattice +cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice -cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v mkdir -p share/lattice +cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v mkdir -p share/lattice -mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v +mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v mkdir -p share/lattice mkdir -p share/lattice @@ -3187,28 +3223,28 @@ mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt mkdir -p share/lattice -cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v -mkdir -p share/lattice mkdir -p share/lattice +cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt -cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice -cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt mkdir -p share/lattice +cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt +cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v -cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v mkdir -p share/lattice mkdir -p share/lattice +cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v -cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v +mkdir -p share/lattice +mkdir -p share/microchip mkdir -p share/microchip +cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v cp "./"/techlibs/microchip/arith_map.v share/microchip/arith_map.v +cp "./"/techlibs/microchip/cells_map.v share/microchip/cells_map.v mkdir -p share/microchip mkdir -p share/microchip -cp "./"/techlibs/microchip/cells_map.v share/microchip/cells_map.v cp "./"/techlibs/microchip/cells_sim.v share/microchip/cells_sim.v -mkdir -p share/microchip cp "./"/techlibs/microchip/polarfire_dsp_map.v share/microchip/polarfire_dsp_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/brams_defs.vh share/microchip/brams_defs.vh @@ -3219,34 +3255,34 @@ mkdir -p share/microchip cp "./"/techlibs/microchip/uSRAM_map.v share/microchip/uSRAM_map.v mkdir -p share/microchip -mkdir -p share/nanoxplore cp "./"/techlibs/microchip/uSRAM.txt share/microchip/uSRAM.txt -cp "./"/techlibs/nanoxplore/arith_map.v share/nanoxplore/arith_map.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/brams_init.vh share/nanoxplore/brams_init.vh +mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/arith_map.v share/nanoxplore/arith_map.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/brams_init.vh share/nanoxplore/brams_init.vh cp "./"/techlibs/nanoxplore/brams_map.v share/nanoxplore/brams_map.v cp "./"/techlibs/nanoxplore/brams.txt share/nanoxplore/brams.txt mkdir -p share/nanoxplore -mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb.v share/nanoxplore/cells_bb.v -cp "./"/techlibs/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_l.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_m.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/cells_bb_u.v share/nanoxplore/cells_bb_u.v +cp "./"/techlibs/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_l.v +cp "./"/techlibs/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_m.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/cells_bb_u.v share/nanoxplore/cells_bb_u.v cp "./"/techlibs/nanoxplore/cells_map.v share/nanoxplore/cells_map.v -cp "./"/techlibs/nanoxplore/cells_sim.v share/nanoxplore/cells_sim.v mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/cells_sim.v share/nanoxplore/cells_sim.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_l.v -cp "./"/techlibs/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_m.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_m.v cp "./"/techlibs/nanoxplore/cells_sim_u.v share/nanoxplore/cells_sim_u.v +mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_l.v @@ -3255,31 +3291,31 @@ cp "./"/techlibs/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_m.v cp "./"/techlibs/nanoxplore/cells_wrap_u.v share/nanoxplore/cells_wrap_u.v mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/io_map.v share/nanoxplore/io_map.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/latches_map.v share/nanoxplore/latches_map.v cp "./"/techlibs/nanoxplore/rf_init.vh share/nanoxplore/rf_init.vh mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/io_map.v share/nanoxplore/io_map.v -cp "./"/techlibs/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_l.txt mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_l.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_m.txt cp "./"/techlibs/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_u.txt mkdir -p share/nanoxplore mkdir -p share/nanoxplore +mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_l.v cp "./"/techlibs/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_m.v -mkdir -p share/nanoxplore -mkdir -p share/nexus cp "./"/techlibs/nanoxplore/rf_rams_map_u.v share/nanoxplore/rf_rams_map_u.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v -cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus mkdir -p share/nexus +cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh mkdir -p share/nexus +mkdir -p share/nexus cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v mkdir -p share/nexus @@ -3289,28 +3325,28 @@ cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt mkdir -p share/nexus -mkdir -p share/nexus -mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v +mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt -cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v mkdir -p share/nexus +mkdir -p share/nexus +cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus mkdir -p share/quicklogic/common cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v -cp "./"/techlibs/quicklogic/common/cells_sim.v share/quicklogic/common/cells_sim.v mkdir -p share/quicklogic/pp3 +cp "./"/techlibs/quicklogic/common/cells_sim.v share/quicklogic/common/cells_sim.v cp "./"/techlibs/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/ffs_map.v mkdir -p share/quicklogic/pp3 -cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v -mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 -cp "./"/techlibs/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_map.v +cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v cp "./"/techlibs/quicklogic/pp3/latches_map.v share/quicklogic/pp3/latches_map.v mkdir -p share/quicklogic/pp3 +cp "./"/techlibs/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/cells_sim.v +mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_model.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 @@ -3323,134 +3359,146 @@ mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v mkdir -p share/quicklogic/qlf_k6n10f -cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v mkdir -p share/quicklogic/qlf_k6n10f +cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/brams_sim.v mkdir -p techlibs/quicklogic/qlf_k6n10f/ && python3 techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v -mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/ffs_map.v -cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_sim.v mkdir -p share/quicklogic/qlf_k6n10f -cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v mkdir -p share/quicklogic/qlf_k6n10f +cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_sim.v +cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v -cp "./"/techlibs/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f -cp "./"/techlibs/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v +cp "./"/techlibs/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v cp "./"/techlibs/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v +mkdir -p share/quicklogic/qlf_k6n10f +cp "./"/techlibs/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 -mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v -cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v -mkdir -p share/xilinx +mkdir -p share/sf2 mkdir -p share/xilinx +cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v -cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx mkdir -p share/xilinx +cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt -cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt +cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx +mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt +cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt +cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh +cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt mkdir -p share/xilinx +mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v +cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v mkdir -p share/xilinx mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v -mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt -cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx +cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v +cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v -cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v +cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v +mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx +mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v +cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx -cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v -mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v -cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v +mkdir -p share/xilinx mkdir -p abc && make -C abc -f "/build/reproducible-path/yosys-0.51/abc/Makefile" ABCSRC="/build/reproducible-path/yosys-0.51/abc" CC="g++" CXX="g++" ABC_USE_LIBSTDCXX=1 ABC_USE_NAMESPACE=abc VERBOSE= ARCHFLAGS="-DABC_USE_STDINT_H """ PROG="abc" MSG_PREFIX="-> ABC: " +cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p kernel/ -mkdir -p kernel/ -g++ -o kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.cc -g++ -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc -mkdir -p frontends/rtlil/ make[3]: Entering directory '/build/reproducible-path/yosys-0.51/abc' -> ABC: Using CC=g++ -> ABC: Using CXX=g++ -> ABC: Using AR=ar -> ABC: Using LD=g++ +g++ -o kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.cc +mkdir -p kernel/ mkdir -p frontends/rtlil/ +g++ -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc g++ -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc +mkdir -p frontends/rtlil/ +mkdir -p frontends/verilog/ g++ -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc -mkdir -p frontends/verilog/ +flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l +mkdir -p passes/opt/ +mkdir -p passes/pmgen/ +g++ -o passes/opt/peepopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/peepopt.cc +g++ -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc +mkdir -p techlibs/ice40/ +mkdir -p techlibs/microchip/ +g++ -o techlibs/ice40/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_wrapcarry.cc +g++ -o techlibs/microchip/microchip_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dsp.cc +mkdir -p techlibs/quicklogic/ +g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc -> ABC: Compiling in namespace -> ABC: Compiling with CUDD -> ABC: Using libreadline -> ABC: Using pthreads -> ABC: Found GCC_VERSION 14 -flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l -mkdir -p passes/opt/ -g++ -o passes/opt/peepopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/peepopt.cc -> ABC: Found GCC_MAJOR>=5 -> ABC: Using explicit -lstdc++ -> ABC: Using CFLAGS=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -mkdir -p passes/pmgen/ -g++ -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc -mkdir -p techlibs/ice40/ -g++ -o techlibs/ice40/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_wrapcarry.cc -mkdir -p techlibs/microchip/ -g++ -o techlibs/microchip/microchip_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dsp.cc +mkdir -p techlibs/xilinx/ +g++ -o techlibs/xilinx/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dsp.cc +mkdir -p share/gatemate +cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib +mkdir -p share/gatemate +cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v +mkdir -p share/quicklogic/qlf_k6n10f +cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v +mkdir -p frontends/verilog/ +g++ -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc -> ABC: `` Generating dependency: /src/map/if/acd/ac_wrapper.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/acd/ac_wrapper.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/map/if/acd/ac_wrapper.cpp > src/map/if/acd/ac_wrapper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3471,135 +3519,120 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/SimpSolver.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose/SimpSolver.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/SimpSolver.cpp > src/sat/glucose/SimpSolver.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/System.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose/System.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/System.cpp > src/sat/glucose/System.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucose2.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/AbcGlucose2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucose2.cpp > src/sat/glucose2/AbcGlucose2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucoseCmd2.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/AbcGlucoseCmd2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucoseCmd2.cpp > src/sat/glucose2/AbcGlucoseCmd2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p techlibs/quicklogic/ -g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc -> ABC: `` Generating dependency: /src/sat/glucose2/Glucose2.cpp -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/Glucose2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/Glucose2.cpp > src/sat/glucose2/Glucose2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p techlibs/xilinx/ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/Glucose2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/Glucose2.cpp > src/sat/glucose2/Glucose2.d -> ABC: `` Generating dependency: /src/sat/glucose2/Options2.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/Options2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/Options2.cpp > src/sat/glucose2/Options2.d -g++ -o techlibs/xilinx/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dsp.cc +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/SimpSolver2.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/SimpSolver2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/SimpSolver2.cpp > src/sat/glucose2/SimpSolver2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/System2.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/System2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/System2.cpp > src/sat/glucose2/System2.d -mkdir -p ./ -g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaRrr.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaRrr.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaRrr.cpp > src/aig/gia/giaRrr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTransduction.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTransduction.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaTransduction.cpp > src/aig/gia/giaTransduction.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTtopt.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTtopt.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaTtopt.cpp > src/aig/gia/giaTtopt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/gatemate -cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib -mkdir -p share/gatemate -cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v -> ABC: `` Generating dependency: /src/base/abc/abcAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c > src/base/abc/abcAig.d -mkdir -p share/quicklogic/qlf_k6n10f -cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p frontends/verilog/ -g++ -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcBarBuf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcBarBuf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c > src/base/abc/abcBarBuf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcBlifMv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c > src/base/abc/abcBlifMv.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c > src/base/abc/abcCheck.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcDfs.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFanio.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcFanio.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c > src/base/abc/abcFanio.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFanOrder.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcFanOrder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c > src/base/abc/abcFanOrder.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFunc.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcFunc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c > src/base/abc/abcFunc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcFunc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c > src/base/abc/abcFunc.d -> ABC: `` Generating dependency: /src/base/abc/abcHie.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcHie.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c > src/base/abc/abcHie.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHieCec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcHieCec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c > src/base/abc/abcHieCec.d -> ABC: `` Generating dependency: /src/base/abc/abcHieGia.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcHieGia.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c > src/base/abc/abcHieGia.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abc/abcHieNew.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcHieNew.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c > src/base/abc/abcHieNew.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abc/abcLatch.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcLatch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c > src/base/abc/abcLatch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abc/abcHieNew.c +-> ABC: `` Generating dependency: /src/base/abc/abcLatch.c -> ABC: `` Generating dependency: /src/base/abc/abcLib.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcHieNew.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c > src/base/abc/abcHieNew.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcLatch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c > src/base/abc/abcLatch.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c > src/base/abc/abcLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcMinBase.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcMinBase.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c > src/base/abc/abcMinBase.d --> ABC: `` Generating dependency: /src/base/abc/abcNames.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcNames.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c > src/base/abc/abcNames.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abc/abcNetlist.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcNetlist.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c > src/base/abc/abcNetlist.d --> ABC: `` Generating dependency: /src/base/abc/abcNtk.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c > src/base/abc/abcNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abc/abcNames.c +-> ABC: `` Generating dependency: /src/base/abc/abcNtk.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcNames.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c > src/base/abc/abcNames.d -> ABC: `` Generating dependency: /src/base/abc/abcObj.c +-> ABC: `` Generating dependency: /src/base/abc/abcNetlist.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c > src/base/abc/abcNtk.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c > src/base/abc/abcObj.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcNetlist.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c > src/base/abc/abcNetlist.d -> ABC: `` Generating dependency: /src/base/abc/abcRefs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcRefs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c > src/base/abc/abcRefs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abc/abcShow.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcShow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c > src/base/abc/abcShow.d --> ABC: `` Generating dependency: /src/base/abc/abcSop.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcSop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c > src/base/abc/abcSop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abc/abcShow.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcShow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c > src/base/abc/abcShow.d -> ABC: `` Generating dependency: /src/base/abc/abcUtil.c +-> ABC: `` Generating dependency: /src/base/abc/abcSop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c > src/base/abc/abcUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcSop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c > src/base/abc/abcSop.d -> ABC: `` Generating dependency: /src/base/abci/abc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c > src/base/abci/abc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAttach.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcAttach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c > src/base/abci/abcAttach.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAuto.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcAuto.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c > src/base/abci/abcAuto.d -> ABC: `` Generating dependency: /src/base/abci/abcBalance.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcBalance.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c > src/base/abci/abcBalance.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBidec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcBidec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c > src/base/abci/abcBidec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcBm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c > src/base/abci/abcBm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3610,100 +3643,100 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCascade.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcCascade.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c > src/base/abci/abcCascade.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCollapse.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcCollapse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c > src/base/abci/abcCollapse.d --> ABC: `` Generating dependency: /src/base/abci/abcCut.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcCascade.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c > src/base/abci/abcCascade.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c > src/base/abci/abcCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDar.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDar.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c > src/base/abci/abcDar.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDebug.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDebug.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c > src/base/abci/abcDebug.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c > src/base/abci/abcDec.d -> ABC: `` Generating dependency: /src/base/abci/abcDetect.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDetect.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c > src/base/abci/abcDetect.d --> ABC: `` Generating dependency: /src/base/abci/abcDress.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcDress.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDress.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c > src/base/abci/abcDress.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDress2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDress2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c > src/base/abci/abcDress2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDress3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDress3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c > src/base/abci/abcDress3.d -> ABC: `` Generating dependency: /src/base/abci/abcDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c > src/base/abci/abcDsd.d +-> ABC: `` Generating dependency: /src/base/abci/abcEco.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcEco.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c > src/base/abci/abcEco.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcEco.c -> ABC: `` Generating dependency: /src/base/abci/abcExact.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcEco.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c > src/base/abci/abcEco.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcExact.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c > src/base/abci/abcExact.d -> ABC: `` Generating dependency: /src/base/abci/abcExtract.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcExtract.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c > src/base/abci/abcExtract.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFraig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcFraig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c > src/base/abci/abcFraig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFx.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcFx.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c > src/base/abci/abcFx.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFxu.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcFxu.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcGen.c --> ABC: `` Generating dependency: /src/base/abci/abcHaig.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcFxu.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcGen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c > src/base/abci/abcGen.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcHaig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcHaig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c > src/base/abci/abcHaig.d --> ABC: `` Generating dependency: /src/base/abci/abcIf.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcIf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c > src/base/abci/abcIf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIfif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcIfif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c > src/base/abci/abcIfif.d +-> ABC: `` Generating dependency: /src/base/abci/abcIf.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcIf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c > src/base/abci/abcIf.d -> ABC: `` Generating dependency: /src/base/abci/abcIfMux.c +-> ABC: `` Generating dependency: /src/base/abci/abcIvy.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcIfMux.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c > src/base/abci/abcIfMux.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcIvy.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c > src/base/abci/abcIvy.d +-> ABC: `` Generating dependency: /src/base/abci/abcLog.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcLog.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c > src/base/abci/abcLog.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcIvy.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcIvy.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c > src/base/abci/abcIvy.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcLog.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcLog.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c > src/base/abci/abcLog.d -> ABC: `` Generating dependency: /src/base/abci/abcLut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c > src/base/abci/abcLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcLutmin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcLutmin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c > src/base/abci/abcLutmin.d --> ABC: `` Generating dependency: /src/base/abci/abcMap.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c > src/base/abci/abcMap.d -> ABC: `` Generating dependency: /src/base/abci/abcMerge.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c > src/base/abci/abcMerge.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMfs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c > src/base/abci/abcMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMini.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMini.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c > src/base/abci/abcMini.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMiter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMiter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c > src/base/abci/abcMiter.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMulti.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMulti.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c > src/base/abci/abcMulti.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcNtbdd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c > src/base/abci/abcNtbdd.d -> ABC: `` Generating dependency: /src/base/abci/abcNpn.c @@ -3711,1123 +3744,1126 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcNpnSave.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcNpnSave.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c > src/base/abci/abcNpnSave.d --> ABC: `` Generating dependency: /src/base/abci/abcOrchestration.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcOrchestration.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrchestration.c > src/base/abci/abcOrchestration.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcOrchestration.c +-> ABC: `` Generating dependency: /src/base/abci/abcNpnSave.c -> ABC: `` Generating dependency: /src/base/abci/abcOdc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcOdc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c > src/base/abci/abcOdc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOrder.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcOrchestration.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrchestration.c > src/base/abci/abcOrchestration.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcNpnSave.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c > src/base/abci/abcNpnSave.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcOrder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c > src/base/abci/abcOrder.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcPart.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcPart.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c > src/base/abci/abcPart.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcPrint.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcPrint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c > src/base/abci/abcPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcProve.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcProve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c > src/base/abci/abcProve.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcProve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c > src/base/abci/abcProve.d -> ABC: `` Generating dependency: /src/base/abci/abcQbf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcQbf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c > src/base/abci/abcQbf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcQuant.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcQuant.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c > src/base/abci/abcQuant.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRec3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRec3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c > src/base/abci/abcRec3.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcReach.c +-> ABC: `` Generating dependency: /src/base/abci/abcRefactor.c -> ABC: `` Generating dependency: /src/base/abci/abcReconv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcReconv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c > src/base/abci/abcReconv.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcReach.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcReach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c > src/base/abci/abcReach.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRefactor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c > src/base/abci/abcRefactor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcRefactor.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRefactor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c > src/base/abci/abcRefactor.d --> ABC: `` Generating dependency: /src/base/abci/abcRenode.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcRenode.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRenode.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c > src/base/abci/abcRenode.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReorder.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcReorder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c > src/base/abci/abcReorder.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRestruct.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRestruct.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c > src/base/abci/abcRestruct.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcResub.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcResub.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c > src/base/abci/abcResub.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRewrite.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRewrite.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c > src/base/abci/abcRewrite.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRpo.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRpo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c > src/base/abci/abcRpo.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRr.c --> ABC: `` Generating dependency: /src/base/abci/abcRunGen.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c > src/base/abci/abcRr.d +-> ABC: `` Generating dependency: /src/base/abci/abcRunGen.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRunGen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c > src/base/abci/abcRunGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcSat.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c > src/base/abci/abcSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSaucy.c +-> ABC: `` Generating dependency: /src/base/abci/abcSat.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c > src/base/abci/abcSat.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSaucy.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c > src/base/abci/abcSaucy.d -> ABC: `` Generating dependency: /src/base/abci/abcScorr.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcScorr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c > src/base/abci/abcScorr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcScorr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c > src/base/abci/abcScorr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSense.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSense.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c > src/base/abci/abcSense.d -> ABC: `` Generating dependency: /src/base/abci/abcSpeedup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c > src/base/abci/abcSpeedup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcStrash.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcStrash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c > src/base/abci/abcStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSweep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c > src/base/abci/abcSweep.d --> ABC: `` Generating dependency: /src/base/abci/abcSymm.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcSymm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSymm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c > src/base/abci/abcSymm.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcTim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcTim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c > src/base/abci/abcTim.d --> ABC: `` Generating dependency: /src/base/abci/abcTiming.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcTiming.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c > src/base/abci/abcTiming.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcTiming.c -> ABC: `` Generating dependency: /src/base/abci/abcUnate.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcTiming.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c > src/base/abci/abcTiming.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcUnate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c > src/base/abci/abcUnate.d -> ABC: `` Generating dependency: /src/base/abci/abcUnreach.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcUnreach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c > src/base/abci/abcUnreach.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcVerify.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcUnreach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c > src/base/abci/abcUnreach.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcVerify.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c > src/base/abci/abcVerify.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcXsim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcXsim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c > src/base/abci/abcXsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/cmd/cmd.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c > src/base/cmd/cmd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/cmd/cmd.c -> ABC: `` Generating dependency: /src/base/cmd/cmdAlias.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c > src/base/cmd/cmd.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdAlias.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c > src/base/cmd/cmdAlias.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c > src/base/cmd/cmdApi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdAuto.c --> ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdAuto.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c > src/base/cmd/cmdAuto.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdAuto.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c > src/base/cmd/cmdAuto.d +-> ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdFlag.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c > src/base/cmd/cmdFlag.d --> ABC: `` Generating dependency: /src/base/cmd/cmdHist.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdHist.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c > src/base/cmd/cmdHist.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p ./ +g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 +-> ABC: `` Generating dependency: /src/base/cmd/cmdHist.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdHist.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c > src/base/cmd/cmdHist.d -> ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdLoad.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c > src/base/cmd/cmdLoad.d -> ABC: `` Generating dependency: /src/base/cmd/cmdPlugin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdPlugin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c > src/base/cmd/cmdPlugin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdStarter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdStarter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c > src/base/cmd/cmdStarter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdUtils.c --> ABC: `` Generating dependency: /src/base/io/io.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c > src/base/cmd/cmdUtils.d +-> ABC: `` Generating dependency: /src/base/io/io.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/io.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c > src/base/io/io.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/io/ioJson.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioJson.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c > src/base/io/ioJson.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/io/ioJson.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioJson.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c > src/base/io/ioJson.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadAiger.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadAiger.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c > src/base/io/ioReadAiger.d -> ABC: `` Generating dependency: /src/base/io/ioReadBaf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBaf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c > src/base/io/ioReadBaf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBblif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBblif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c > src/base/io/ioReadBblif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBench.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBench.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c > src/base/io/ioReadBench.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c > src/base/io/ioReadBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBench.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c > src/base/io/ioReadBench.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBlifAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c > src/base/io/ioReadBlifAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBlifAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c > src/base/io/ioReadBlifAig.d -> ABC: `` Generating dependency: /src/base/io/ioReadBlifMv.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c > src/base/io/ioReadBlifMv.d -> ABC: `` Generating dependency: /src/base/io/ioReadDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c > src/base/io/ioReadDsd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/io/ioReadEqn.c -> ABC: `` Generating dependency: /src/base/io/ioReadEdif.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c > src/base/io/ioReadBlifMv.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadEdif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c > src/base/io/ioReadEdif.d --> ABC: `` Generating dependency: /src/base/io/ioReadEqn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadEqn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c > src/base/io/ioReadEqn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPla.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadPla.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c > src/base/io/ioReadPla.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadPlaMo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c > src/base/io/ioReadPlaMo.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadVerilog.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c > src/base/io/ioReadVerilog.d -> ABC: `` Generating dependency: /src/base/io/ioUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c > src/base/io/ioUtil.d --> ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteAiger.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c > src/base/io/ioWriteAiger.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteAiger.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c > src/base/io/ioWriteAiger.d -> ABC: `` Generating dependency: /src/base/io/ioWriteBaf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBaf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c > src/base/io/ioWriteBaf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBblif.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBblif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c > src/base/io/ioWriteBblif.d -> ABC: `` Generating dependency: /src/base/io/ioWriteBench.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBblif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c > src/base/io/ioWriteBblif.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBench.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c > src/base/io/ioWriteBench.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBlif.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c > src/base/io/ioWriteBlif.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c > src/base/io/ioWriteBlifMv.d +-> ABC: `` Generating dependency: /src/base/io/ioWriteBook.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c > src/base/io/ioWriteBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/io/ioWriteBook.c --> ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c > src/base/io/ioWriteBlifMv.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBook.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c > src/base/io/ioWriteBook.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c > src/base/io/ioWriteCnf.d +-> ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c -> ABC: `` Generating dependency: /src/base/io/ioWriteDot.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteDot.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c > src/base/io/ioWriteDot.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c > src/base/io/ioWriteCnf.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteDot.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c > src/base/io/ioWriteDot.d -> ABC: `` Generating dependency: /src/base/io/ioWriteEqn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteEqn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c > src/base/io/ioWriteEqn.d -> ABC: `` Generating dependency: /src/base/io/ioWriteEdgelist.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteEdgelist.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c > src/base/io/ioWriteEdgelist.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteGml.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteGml.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c > src/base/io/ioWriteGml.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteHMetis.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteHMetis.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteHMetis.c > src/base/io/ioWriteHMetis.d -> ABC: `` Generating dependency: /src/base/io/ioWriteList.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteList.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c > src/base/io/ioWriteList.d --> ABC: `` Generating dependency: /src/base/io/ioWritePla.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/io/ioWritePla.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWritePla.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c > src/base/io/ioWritePla.d -> ABC: `` Generating dependency: /src/base/io/ioWriteVerilog.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteVerilog.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c > src/base/io/ioWriteVerilog.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteSmv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c > src/base/io/ioWriteSmv.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/main.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/main.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c > src/base/main/main.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainFrame.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/mainFrame.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c > src/base/main/mainFrame.d -> ABC: `` Generating dependency: /src/base/main/mainInit.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/mainInit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c > src/base/main/mainInit.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/mainFrame.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c > src/base/main/mainFrame.d -> ABC: `` Generating dependency: /src/base/main/mainLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/mainLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c > src/base/main/mainLib.d -> ABC: `` Generating dependency: /src/base/main/mainReal.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/mainReal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c > src/base/main/mainReal.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/libSupport.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/libSupport.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c > src/base/main/libSupport.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainUtils.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/mainUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c > src/base/main/mainUtils.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exor.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c > src/base/exor/exor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorBits.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c > src/base/exor/exor.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exorBits.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c > src/base/exor/exorBits.d -> ABC: `` Generating dependency: /src/base/exor/exorCubes.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exorCubes.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c > src/base/exor/exorCubes.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorLink.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exorLink.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c > src/base/exor/exorLink.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorList.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exorList.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c > src/base/exor/exorList.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorUtil.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exorUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c > src/base/exor/exorUtil.d -> ABC: `` Generating dependency: /src/base/ver/verCore.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/ver/verCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c > src/base/ver/verCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/ver/verCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c > src/base/ver/verCore.d -> ABC: `` Generating dependency: /src/base/ver/verFormula.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/ver/verFormula.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c > src/base/ver/verFormula.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verParse.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/ver/verParse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c > src/base/ver/verParse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verStream.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/ver/verStream.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c > src/base/ver/verStream.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/ver/verParse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c > src/base/ver/verParse.d -> ABC: `` Generating dependency: /src/base/wlc/wlcAbs.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/ver/verStream.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c > src/base/ver/verStream.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c > src/base/wlc/wlcAbs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcAbs2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbs2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c > src/base/wlc/wlcAbs2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcAbc.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c > src/base/wlc/wlcAbc.d -> ABC: `` Generating dependency: /src/base/wlc/wlcPth.c +-> ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcPth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c > src/base/wlc/wlcPth.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcBlast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c -> ABC: `` Generating dependency: /src/base/wlc/wlcCom.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcBlast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d +-> ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c > src/base/wlc/wlcCom.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcGraft.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c > src/base/wlc/wlcGraft.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcGraft.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c > src/base/wlc/wlcGraft.d -> ABC: `` Generating dependency: /src/base/wlc/wlcJson.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcJson.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c > src/base/wlc/wlcJson.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcMem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c > src/base/wlc/wlcMem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcNdr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcNdr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c > src/base/wlc/wlcNdr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcNtk.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c > src/base/wlc/wlcNtk.d -> ABC: `` Generating dependency: /src/base/wlc/wlcReadSmt.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcReadSmt.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c > src/base/wlc/wlcReadSmt.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcReadVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcReadVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c > src/base/wlc/wlcReadVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcSim.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c > src/base/wlc/wlcSim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcShow.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c > src/base/wlc/wlcSim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcShow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c > src/base/wlc/wlcShow.d -> ABC: `` Generating dependency: /src/base/wlc/wlcStdin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcStdin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c > src/base/wlc/wlcStdin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcUif.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/wlc/wlcWin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcUif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c > src/base/wlc/wlcUif.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wlc/wlcWin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c > src/base/wlc/wlcWin.d --> ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c > src/base/wlc/wlcWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c > src/base/wlc/wlcWriteVer.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wln.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wln.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c > src/base/wln/wln.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnBlast.c +-> ABC: `` Generating dependency: /src/base/wln/wlnCom.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnBlast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c > src/base/wln/wlnBlast.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c > src/base/wln/wlnCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/wln/wlnCom.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c > src/base/wln/wlnCom.d -> ABC: `` Generating dependency: /src/base/wln/wlnGuide.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnGuide.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c > src/base/wln/wlnGuide.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnMem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c > src/base/wln/wlnMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnNdr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnNdr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c > src/base/wln/wlnNdr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnNtk.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c > src/base/wln/wlnNtk.d -> ABC: `` Generating dependency: /src/base/wln/wlnObj.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c > src/base/wln/wlnNtk.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c > src/base/wln/wlnObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRead.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c > src/base/wln/wlnRead.d -> ABC: `` Generating dependency: /src/base/wln/wlnRetime.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnRetime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c > src/base/wln/wlnRetime.d -> ABC: `` Generating dependency: /src/base/wln/wlnRtl.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnRtl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c > src/base/wln/wlnRtl.d --> ABC: `` Generating dependency: /src/base/wln/wlnWlc.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wln/wlnWlc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnWlc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c > src/base/wln/wlnWlc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnWriteVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c > src/base/wln/wlnWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbAbc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbAbc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c > src/base/acb/acbAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbAig.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c > src/base/acb/acbAig.d -> ABC: `` Generating dependency: /src/base/acb/acbCom.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c > src/base/acb/acbAig.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c > src/base/acb/acbCom.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbFunc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbFunc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c > src/base/acb/acbFunc.d -> ABC: `` Generating dependency: /src/base/acb/acbMfs.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbMfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c > src/base/acb/acbMfs.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbPush.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbPush.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c > src/base/acb/acbPush.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbSets.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbSets.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c > src/base/acb/acbSets.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbTest.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbTest.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c > src/base/acb/acbTest.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c > src/base/acb/acbUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacBlast.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacBlast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c > src/base/bac/bacBlast.d -> ABC: `` Generating dependency: /src/base/bac/bacBac.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacBac.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c > src/base/bac/bacBac.d -> ABC: `` Generating dependency: /src/base/bac/bacCom.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c > src/base/bac/bacCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacLib.c +-> ABC: `` Generating dependency: /src/base/bac/bacNtk.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c > src/base/bac/bacLib.d --> ABC: `` Generating dependency: /src/base/bac/bacNtk.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c > src/base/bac/bacNtk.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c > src/base/bac/bacCom.d -> ABC: `` Generating dependency: /src/base/bac/bacPrsBuild.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c > src/base/bac/bacNtk.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacPrsBuild.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c > src/base/bac/bacPrsBuild.d --> ABC: `` Generating dependency: /src/base/bac/bacPrsTrans.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/bac/bacPrsTrans.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacPrsTrans.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c > src/base/bac/bacPrsTrans.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/bac/bacPtr.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/bac/bacPtr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacPtr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c > src/base/bac/bacPtr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPtrAbc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacPtrAbc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c > src/base/bac/bacPtrAbc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c > src/base/bac/bacReadBlif.d -> ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacReadSmt.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c > src/base/bac/bacReadSmt.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacReadVer.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacReadVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d -> ABC: `` Generating dependency: /src/base/bac/bacWriteBlif.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c > src/base/bac/bacWriteBlif.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacReadVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c > src/base/bac/bacWriteBlif.d -> ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacWriteSmt.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c > src/base/bac/bacWriteSmt.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteVer.c +-> ABC: `` Generating dependency: /src/base/cba/cbaBlast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c > src/base/bac/bacWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/cba/cbaBlast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaBlast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c > src/base/cba/cbaBlast.d -> ABC: `` Generating dependency: /src/base/cba/cbaCba.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaCba.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c > src/base/cba/cbaCba.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaCom.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d -> ABC: `` Generating dependency: /src/base/cba/cbaNtk.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaReadBlif.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c > src/base/cba/cbaReadBlif.d --> ABC: `` Generating dependency: /src/base/cba/cbaReadVer.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/cba/cbaReadVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaReadVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c > src/base/cba/cbaReadVer.d --> ABC: `` Generating dependency: /src/base/cba/cbaWriteBlif.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/cba/cbaWriteBlif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c > src/base/cba/cbaWriteBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaWriteVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c > src/base/cba/cbaWriteVer.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaCom.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c > src/base/pla/plaCom.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaHash.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaHash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c > src/base/pla/plaHash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaMan.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaHash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c > src/base/pla/plaHash.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c > src/base/pla/plaMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaMerge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c > src/base/pla/plaMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaSimple.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaSimple.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c > src/base/pla/plaSimple.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaRead.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c > src/base/pla/plaRead.d --> ABC: `` Generating dependency: /src/base/pla/plaWrite.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaWrite.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c > src/base/pla/plaWrite.d --> ABC: `` Generating dependency: /src/base/test/test.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/pla/plaWrite.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaWrite.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c > src/base/pla/plaWrite.d +-> ABC: `` Generating dependency: /src/base/test/test.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/test/test.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c > src/base/test/test.d -> ABC: `` Generating dependency: /src/map/mapper/mapper.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c > src/map/mapper/mapper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCanon.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c > src/map/mapper/mapperCanon.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCore.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c > src/map/mapper/mapperCore.d -> ABC: `` Generating dependency: /src/map/mapper/mapperCreate.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c > src/map/mapper/mapperCore.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperCreate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c > src/map/mapper/mapperCreate.d -> ABC: `` Generating dependency: /src/map/mapper/mapperCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c > src/map/mapper/mapperCut.d -> ABC: `` Generating dependency: /src/map/mapper/mapperCutUtils.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperCutUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c > src/map/mapper/mapperCutUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/mapper/mapperLib.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c > src/map/mapper/mapperLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/mapper/mapperLib.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c > src/map/mapper/mapperLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperMatch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperMatch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c > src/map/mapper/mapperMatch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperRefs.c --> ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperRefs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c > src/map/mapper/mapperRefs.d +-> ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperSuper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c > src/map/mapper/mapperSuper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperSwitch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperSwitch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c > src/map/mapper/mapperSwitch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c > src/map/mapper/mapperTable.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTime.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperTime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c > src/map/mapper/mapperTime.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTree.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperTree.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c > src/map/mapper/mapperTree.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTruth.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c > src/map/mapper/mapperTruth.d --> ABC: `` Generating dependency: /src/map/mapper/mapperUtils.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c > src/map/mapper/mapperUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/mapper/mapperUtils.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c > src/map/mapper/mapperUtils.d -> ABC: `` Generating dependency: /src/map/mapper/mapperVec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperVec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c > src/map/mapper/mapperVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mio.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mio.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c > src/map/mio/mio.d -> ABC: `` Generating dependency: /src/map/mio/mioApi.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c > src/map/mio/mioApi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c > src/map/mio/mioApi.d -> ABC: `` Generating dependency: /src/map/mio/mioFunc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioFunc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c > src/map/mio/mioFunc.d -> ABC: `` Generating dependency: /src/map/mio/mioParse.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioParse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c > src/map/mio/mioParse.d -> ABC: `` Generating dependency: /src/map/mio/mioRead.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c > src/map/mio/mioRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioSop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioSop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c > src/map/mio/mioSop.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioUtils.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c > src/map/mio/mioUtils.d -> ABC: `` Generating dependency: /src/map/super/super.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c > src/map/mio/mioUtils.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/super/super.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c > src/map/super/super.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/super/superAnd.c --> ABC: `` Generating dependency: /src/map/super/superGate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/super/superAnd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c > src/map/super/superAnd.d +-> ABC: `` Generating dependency: /src/map/super/superGate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/super/superGate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c > src/map/super/superGate.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCom.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c > src/map/if/ifCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCache.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifCache.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c > src/map/if/ifCache.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifCut.c -> ABC: `` Generating dependency: /src/map/if/ifCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c > src/map/if/ifCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/if/ifCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c > src/map/if/ifCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifDec07.c -> ABC: `` Generating dependency: /src/map/if/ifData2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifData2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c > src/map/if/ifData2.d --> ABC: `` Generating dependency: /src/map/if/ifDec07.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec07.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c > src/map/if/ifDec07.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec08.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec08.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c > src/map/if/ifDec08.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/if/ifDec10.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/if/ifDec16.c +-> ABC: `` Generating dependency: /src/map/if/ifDec10.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec10.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c > src/map/if/ifDec10.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec16.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c > src/map/if/ifDec16.d +-> ABC: `` Generating dependency: /src/map/if/ifDec16.c -> ABC: `` Generating dependency: /src/map/if/ifDec66.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec16.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c > src/map/if/ifDec16.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec66.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec66.c > src/map/if/ifDec66.d -> ABC: `` Generating dependency: /src/map/if/ifDec75.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec75.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c > src/map/if/ifDec75.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDelay.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDelay.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c > src/map/if/ifDelay.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDsd.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c > src/map/if/ifDsd.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec75.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c > src/map/if/ifDec75.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifLibBox.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c > src/map/if/ifDsd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifLibBox.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c > src/map/if/ifLibBox.d +-> ABC: `` Generating dependency: /src/map/if/ifLibLut.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifLibLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c > src/map/if/ifLibLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/if/ifLibLut.c -> ABC: `` Generating dependency: /src/map/if/ifMan.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifLibLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c > src/map/if/ifLibLut.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c > src/map/if/ifMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifMap.c --> ABC: `` Generating dependency: /src/map/if/ifMatch2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c > src/map/if/ifMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifMatch2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifMatch2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c > src/map/if/ifMatch2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifReduce.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifReduce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c > src/map/if/ifReduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSat.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifReduce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c > src/map/if/ifReduce.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c > src/map/if/ifSat.d --> ABC: `` Generating dependency: /src/map/if/ifSelect.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifSelect.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c > src/map/if/ifSelect.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifSelect.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifSelect.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c > src/map/if/ifSelect.d -> ABC: `` Generating dependency: /src/map/if/ifSeq.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c > src/map/if/ifSeq.d -> ABC: `` Generating dependency: /src/map/if/ifTest.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifTest.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c > src/map/if/ifTest.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTime.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifTime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c > src/map/if/ifTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c > src/map/if/ifTruth.d --> ABC: `` Generating dependency: /src/map/if/ifTune.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifTune.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifTune.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c > src/map/if/ifTune.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c > src/map/if/ifUtil.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c > src/map/amap/amapCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapGraph.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapGraph.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c > src/map/amap/amapLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapLiberty.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapLiberty.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapMan.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapLiberty.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c > src/map/amap/amapMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapMatch.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapMatch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c > src/map/amap/amapMatch.d -> ABC: `` Generating dependency: /src/map/amap/amapMerge.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapMatch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c > src/map/amap/amapMatch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d -> ABC: `` Generating dependency: /src/map/amap/amapOutput.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapOutput.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapParse.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapParse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c > src/map/amap/amapParse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapOutput.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapParse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c > src/map/amap/amapParse.d -> ABC: `` Generating dependency: /src/map/amap/amapPerm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapPerm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c > src/map/amap/amapPerm.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapRead.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c > src/map/amap/amapRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapRule.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapRule.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c > src/map/amap/amapRule.d -> ABC: `` Generating dependency: /src/map/amap/amapUniq.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapUniq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c > src/map/amap/amapUniq.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covBuild.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covBuild.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c > src/map/cov/covBuild.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c > src/map/cov/covCore.d --> ABC: `` Generating dependency: /src/map/cov/covMan.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c > src/map/cov/covMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/cov/covMan.c -> ABC: `` Generating dependency: /src/map/cov/covMinEsop.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMinEsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c > src/map/cov/covMinEsop.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c > src/map/cov/covMan.d -> ABC: `` Generating dependency: /src/map/cov/covMinMan.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMinMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c > src/map/cov/covMinMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/cov/covMinSop.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMinSop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c > src/map/cov/covMinSop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMinEsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c > src/map/cov/covMinEsop.d +-> ABC: `` Generating dependency: /src/map/cov/covMinSop.c -> ABC: `` Generating dependency: /src/map/cov/covMinUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMinUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c > src/map/cov/covMinUtil.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMinSop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c > src/map/cov/covMinSop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/scl.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/scl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c > src/map/scl/scl.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclBuffer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclBuffer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c > src/map/scl/sclBuffer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclBufSize.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclBufSize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c > src/map/scl/sclBufSize.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclDnsize.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclDnsize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c > src/map/scl/sclDnsize.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLiberty.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclLiberty.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c > src/map/scl/sclLiberty.d -> ABC: `` Generating dependency: /src/map/scl/sclLibScl.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclLibScl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c > src/map/scl/sclLibScl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLibUtil.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclLibUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c > src/map/scl/sclLibUtil.d -> ABC: `` Generating dependency: /src/map/scl/sclLoad.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclLibUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c > src/map/scl/sclLibUtil.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclLoad.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c > src/map/scl/sclLoad.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclSize.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclSize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c > src/map/scl/sclSize.d +-> ABC: `` Generating dependency: /src/map/scl/sclUtil.c -> ABC: `` Generating dependency: /src/map/scl/sclUpsize.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c > src/map/scl/sclUtil.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclUpsize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c > src/map/scl/sclUpsize.d --> ABC: `` Generating dependency: /src/map/scl/sclUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c > src/map/scl/sclUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmAbc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmAbc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c > src/map/mpm/mpmAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/mpm/mpmCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/mpm/mpmCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c > src/map/mpm/mpmCore.d -> ABC: `` Generating dependency: /src/map/mpm/mpmDsd.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c > src/map/mpm/mpmDsd.d -> ABC: `` Generating dependency: /src/map/mpm/mpmGates.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmGates.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c > src/map/mpm/mpmGates.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c > src/map/mpm/mpmDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmLib.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c > src/map/mpm/mpmLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c > src/map/mpm/mpmLib.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c > src/map/mpm/mpmMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c > src/map/mpm/mpmMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMig.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmMig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c > src/map/mpm/mpmMig.d --> ABC: `` Generating dependency: /src/map/mpm/mpmPre.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/mpm/mpmPre.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmMig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c > src/map/mpm/mpmMig.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmPre.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c > src/map/mpm/mpmPre.d -> ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c > src/map/mpm/mpmTruth.d -> ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c > src/map/mpm/mpmUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCanon.c -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCfs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c > src/misc/extra/extraUtilCanon.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c > src/misc/extra/extraUtilCfs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCube.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCube.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c > src/misc/extra/extraUtilCube.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c > src/misc/extra/extraUtilDsd.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilEnum.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c > src/misc/extra/extraUtilEnum.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilFile.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilFile.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c > src/misc/extra/extraUtilFile.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilFile.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c > src/misc/extra/extraUtilFile.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilGen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c > src/misc/extra/extraUtilGen.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMacc.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMacc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c > src/misc/extra/extraUtilMacc.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMacc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c > src/misc/extra/extraUtilMacc.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMaj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c > src/misc/extra/extraUtilMaj.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMemory.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMemory.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c > src/misc/extra/extraUtilMemory.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMisc.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMisc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c > src/misc/extra/extraUtilMisc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMult.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMisc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c > src/misc/extra/extraUtilMisc.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMult.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c > src/misc/extra/extraUtilMult.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMemory.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c > src/misc/extra/extraUtilMemory.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilPath.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilPath.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c > src/misc/extra/extraUtilPath.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilPerm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c > src/misc/extra/extraUtilPerm.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilProgress.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilProgress.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c > src/misc/extra/extraUtilProgress.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilPerm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c > src/misc/extra/extraUtilPerm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilReader.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c > src/misc/extra/extraUtilReader.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/extra/extraUtilSupp.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilSupp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c > src/misc/extra/extraUtilSupp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c > src/misc/extra/extraUtilTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilReader.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c > src/misc/extra/extraUtilReader.d +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilSupp.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilSupp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c > src/misc/extra/extraUtilSupp.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c > src/misc/extra/extraUtilUtil.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c > src/misc/mvc/mvcApi.d +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c > src/misc/extra/extraUtilTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/mvc/mvcContain.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcContain.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c > src/misc/mvc/mvcContain.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcCompare.c +-> ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCompare.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c > src/misc/mvc/mvcCompare.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCover.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c > src/misc/mvc/mvcCover.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/mvc/mvcContain.c --> ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcContain.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c > src/misc/mvc/mvcContain.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCover.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c > src/misc/mvc/mvcCover.d --> ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCube.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c > src/misc/mvc/mvcCube.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCube.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c > src/misc/mvc/mvcCube.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivide.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcDivide.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c > src/misc/mvc/mvcDivide.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcDivisor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c > src/misc/mvc/mvcDivisor.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcList.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcList.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c > src/misc/mvc/mvcList.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcLits.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c > src/misc/mvc/mvcLits.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c > src/misc/mvc/mvcMan.d --> ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcOpAlg.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c > src/misc/mvc/mvcOpAlg.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcOpAlg.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c > src/misc/mvc/mvcOpAlg.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcOpBool.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c > src/misc/mvc/mvcOpBool.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcPrint.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcPrint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c > src/misc/mvc/mvcPrint.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcSort.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcSort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c > src/misc/mvc/mvcSort.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcOpBool.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c > src/misc/mvc/mvcOpBool.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcSort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c > src/misc/mvc/mvcSort.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c > src/misc/mvc/mvcUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/st/st.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/st/st.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c > src/misc/st/st.d -> ABC: `` Generating dependency: /src/misc/st/stmm.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/st/stmm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c > src/misc/st/stmm.d -> ABC: `` Generating dependency: /src/misc/util/utilBridge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilBridge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c > src/misc/util/utilBridge.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilBSet.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilBSet.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBSet.c > src/misc/util/utilBSet.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilCex.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilCex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c > src/misc/util/utilCex.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilColor.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilColor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c > src/misc/util/utilColor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilFile.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilFile.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c > src/misc/util/utilFile.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilIsop.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilFile.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c > src/misc/util/utilFile.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilIsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c > src/misc/util/utilIsop.d -> ABC: `` Generating dependency: /src/misc/util/utilNam.c --> ABC: `` Generating dependency: /src/misc/util/utilPth.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilNam.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c > src/misc/util/utilNam.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilPth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilPth.c > src/misc/util/utilPth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilNam.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c > src/misc/util/utilNam.d +-> ABC: `` Generating dependency: /src/misc/util/utilSort.c +-> ABC: `` Generating dependency: /src/misc/util/utilPth.c -> ABC: `` Generating dependency: /src/misc/util/utilSignal.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilPth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilPth.c > src/misc/util/utilPth.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilSignal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c > src/misc/util/utilSignal.d --> ABC: `` Generating dependency: /src/misc/util/utilSort.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilSort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c > src/misc/util/utilSort.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/nm/nmApi.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/nm/nmApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c > src/misc/nm/nmApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/nm/nmApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c > src/misc/nm/nmApi.d -> ABC: `` Generating dependency: /src/misc/nm/nmTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/nm/nmTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c > src/misc/nm/nmTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timBox.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timBox.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c > src/misc/tim/timBox.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timDump.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timDump.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c > src/misc/tim/timDump.d -> ABC: `` Generating dependency: /src/misc/tim/timMan.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timDump.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c > src/misc/tim/timDump.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c > src/misc/tim/timMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timTime.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timTime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c > src/misc/tim/timTime.d -> ABC: `` Generating dependency: /src/misc/tim/timTrav.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timTime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c > src/misc/tim/timTime.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timTrav.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c > src/misc/tim/timTrav.d -> ABC: `` Generating dependency: /src/misc/mem/mem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mem/mem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c > src/misc/mem/mem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/bar/bar.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/bbl/bblif.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/bar/bar.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c > src/misc/bar/bar.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/bbl/bblif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c > src/misc/bbl/bblif.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/bbl/bblif.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/parse/parseEqn.c --> ABC: `` Generating dependency: /src/misc/parse/parseStack.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/bbl/bblif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c > src/misc/bbl/bblif.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/parse/parseEqn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c > src/misc/parse/parseEqn.d +-> ABC: `` Generating dependency: /src/misc/parse/parseStack.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/parse/parseStack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c > src/misc/parse/parseStack.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c > src/opt/cut/cutApi.d -> ABC: `` Generating dependency: /src/opt/cut/cutCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c > src/opt/cut/cutCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutMan.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c > src/opt/cut/cutMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutMerge.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c > src/opt/cut/cutMerge.d -> ABC: `` Generating dependency: /src/opt/cut/cutNode.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutNode.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c > src/opt/cut/cutNode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutOracle.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutOracle.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c > src/opt/cut/cutOracle.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutPre22.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutPre22.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c > src/opt/cut/cutPre22.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutSeq.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c > src/opt/cut/cutSeq.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c > src/opt/cut/cutTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxu.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxu.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c > src/opt/fxu/fxu.d --> ABC: `` Generating dependency: /src/opt/fxu/fxuCreate.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuCreate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c > src/opt/fxu/fxuCreate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuHeapS.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c > src/opt/fxu/fxuHeapS.d +-> ABC: `` Generating dependency: /src/opt/fxu/fxuCreate.c -> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapD.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuHeapD.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c > src/opt/fxu/fxuHeapD.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuCreate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c > src/opt/fxu/fxuCreate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuHeapD.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c > src/opt/fxu/fxuHeapD.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuHeapS.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c > src/opt/fxu/fxuHeapS.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuList.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuList.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c > src/opt/fxu/fxuList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuMatrix.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuMatrix.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c > src/opt/fxu/fxuMatrix.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuList.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c > src/opt/fxu/fxuList.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuMatrix.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c > src/opt/fxu/fxuMatrix.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuPair.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuPair.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c > src/opt/fxu/fxuPair.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuPrint.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuPrint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c > src/opt/fxu/fxuPrint.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuReduce.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuReduce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c > src/opt/fxu/fxuReduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuReduce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c > src/opt/fxu/fxuReduce.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuSelect.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuSelect.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c > src/opt/fxu/fxuSelect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuSelect.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c > src/opt/fxu/fxuSelect.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuSingle.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuSingle.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c > src/opt/fxu/fxuSingle.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuUpdate.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuSingle.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c > src/opt/fxu/fxuSingle.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuUpdate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c > src/opt/fxu/fxuUpdate.d -> ABC: `` Generating dependency: /src/opt/fxch/Fxch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxch/Fxch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c > src/opt/fxch/Fxch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/fxch/FxchDiv.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxch/FxchDiv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c > src/opt/fxch/FxchDiv.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxch/FxchMan.c +-> ABC: `` Generating dependency: /src/opt/fxch/FxchDiv.c -> ABC: `` Generating dependency: /src/opt/fxch/FxchSCHashTable.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxch/FxchDiv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c > src/opt/fxch/FxchDiv.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxch/FxchMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c > src/opt/fxch/FxchMan.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxch/FxchSCHashTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c > src/opt/fxch/FxchSCHashTable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrDec.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c > src/opt/rwr/rwrDec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrEva.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrEva.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c > src/opt/rwr/rwrEva.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrExp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c > src/opt/rwr/rwrExp.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c > src/opt/rwr/rwrLib.d --> ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c +-> ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c > src/opt/rwr/rwrMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrExp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c > src/opt/rwr/rwrExp.d +-> ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c -> ABC: `` Generating dependency: /src/opt/rwr/rwrPrint.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c > src/opt/rwr/rwrMan.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrPrint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c > src/opt/rwr/rwrPrint.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c > src/opt/rwr/rwrUtil.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsCore.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c > src/opt/rwr/rwrUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c > src/opt/mfs/mfsCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsDiv.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsDiv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c > src/opt/mfs/mfsDiv.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsInter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c > src/opt/mfs/mfsInter.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsDiv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c > src/opt/mfs/mfsDiv.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsInter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c > src/opt/mfs/mfsInter.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c > src/opt/mfs/mfsMan.d +-> ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c -> ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsResub.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c > src/opt/mfs/mfsResub.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c > src/opt/mfs/mfsSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c > src/opt/mfs/mfsSat.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsStrash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c > src/opt/mfs/mfsStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c > src/opt/mfs/mfsWin.d +-> ABC: `` Generating dependency: /src/opt/sim/simSeq.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d -> ABC: `` Generating dependency: /src/opt/sim/simMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c > src/opt/sim/simMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/sim/simSeq.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSupp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSupp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c > src/opt/sim/simSupp.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSwitch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSwitch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c > src/opt/sim/simSwitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSym.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSym.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c > src/opt/sim/simSym.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymSat.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/sim/simSymSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSymSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c > src/opt/sim/simSymSat.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSymSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c > src/opt/sim/simSymSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/sim/simSymSim.c -> ABC: `` Generating dependency: /src/opt/sim/simSymStr.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSymSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c > src/opt/sim/simSymSim.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSymStr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c > src/opt/sim/simSymStr.d -> ABC: `` Generating dependency: /src/opt/sim/simUtils.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c > src/opt/sim/simUtils.d -> ABC: `` Generating dependency: /src/opt/ret/retArea.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retArea.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c > src/opt/ret/retArea.d -> ABC: `` Generating dependency: /src/opt/ret/retCore.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c > src/opt/ret/retCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retDelay.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c > src/opt/ret/retCore.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retDelay.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c > src/opt/ret/retDelay.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4836,70 +4872,69 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retIncrem.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retIncrem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retInit.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retIncrem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retInit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c > src/opt/ret/retInit.d -> ABC: `` Generating dependency: /src/opt/ret/retLvalue.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retLvalue.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c > src/opt/ret/retLvalue.d -> ABC: `` Generating dependency: /src/opt/fret/fretMain.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fret/fretMain.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c > src/opt/fret/fretMain.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretFlow.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fret/fretFlow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c > src/opt/fret/fretFlow.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretInit.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fret/fretFlow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c > src/opt/fret/fretFlow.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fret/fretInit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c > src/opt/fret/fretInit.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretTime.c +-> ABC: `` Generating dependency: /src/opt/res/resCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fret/fretTime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d +-> ABC: `` Generating dependency: /src/opt/res/resDivs.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c > src/opt/res/resCore.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resDivs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c > src/opt/res/resDivs.d +-> ABC: `` Generating dependency: /src/opt/res/resFilter.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/res/resCore.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c > src/opt/res/resCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/res/resDivs.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resDivs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c > src/opt/res/resDivs.d --> ABC: `` Generating dependency: /src/opt/res/resFilter.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resFilter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c > src/opt/res/resFilter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resFilter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c > src/opt/res/resFilter.d -> ABC: `` Generating dependency: /src/opt/res/resSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c > src/opt/res/resSat.d -> ABC: `` Generating dependency: /src/opt/res/resSim.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d -> ABC: `` Generating dependency: /src/opt/res/resStrash.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resStrash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c > src/opt/res/resStrash.d -> ABC: `` Generating dependency: /src/opt/res/resWin.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c > src/opt/lpk/lpkCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c > src/opt/lpk/lpkAbcDec.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcMux.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c > src/opt/lpk/lpkAbcMux.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c > src/opt/lpk/lpkAbcDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcMux.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c > src/opt/lpk/lpkAbcMux.d +-> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcUtil.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c > src/opt/lpk/lpkAbcDsd.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c > src/opt/lpk/lpkAbcUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkCut.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/lpk/lpkMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c > src/opt/lpk/lpkCut.d +-> ABC: `` Generating dependency: /src/opt/lpk/lpkMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c > src/opt/lpk/lpkMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMap.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c > src/opt/lpk/lpkMap.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMulti.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4907,37 +4942,37 @@ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMux.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMux.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c > src/opt/lpk/lpkMux.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkSets.c +-> ABC: `` Generating dependency: /src/opt/nwk/nwkAig.c +-> ABC: `` Generating dependency: /src/opt/nwk/nwkCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkSets.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c > src/opt/lpk/lpkSets.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/nwk/nwkAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c > src/opt/nwk/nwkAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/nwk/nwkCheck.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c > src/opt/nwk/nwkCheck.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkBidec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkBidec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c > src/opt/nwk/nwkBidec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkDfs.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c > src/opt/nwk/nwkDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/nwk/nwkFanio.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/nwk/nwkFanio.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkFanio.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c > src/opt/nwk/nwkFanio.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkFlow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkFlow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c > src/opt/nwk/nwkFlow.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c > src/opt/nwk/nwkMan.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkMap.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c > src/opt/nwk/nwkMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c > src/opt/nwk/nwkMap.d +-> ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c -> ABC: `` Generating dependency: /src/opt/nwk/nwkMerge.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c > src/opt/nwk/nwkMerge.d --> ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c > src/opt/nwk/nwkObj.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkSpeedup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c > src/opt/nwk/nwkSpeedup.d @@ -4949,66 +4984,66 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkTiming.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c > src/opt/nwk/nwkTiming.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c > src/opt/nwk/nwkUtil.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtDec.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwt/rwtDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c > src/opt/rwt/rwtDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwt/rwtMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c > src/opt/rwt/rwtMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwt/rwtUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c > src/opt/rwt/rwtUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rar/rewire_rng.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rar/rewire_rng.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rng.c > src/opt/rar/rewire_rng.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rar/rewire_map.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rar/rewire_map.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_map.c > src/opt/rar/rewire_map.d -> ABC: `` Generating dependency: /src/opt/rar/rewire_rar.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rar/rewire_rar.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rar.c > src/opt/rar/rewire_rar.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rar/rewire_map.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_map.c > src/opt/rar/rewire_map.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rar/rewire_rar.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rar.c > src/opt/rar/rewire_rar.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c > src/opt/cgt/cgtAig.d +-> ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c > src/opt/cgt/cgtCore.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtDecide.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtDecide.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c > src/opt/cgt/cgtDecide.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtDecide.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c > src/opt/cgt/cgtDecide.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtMan.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c > src/opt/cgt/cgtMan.d +-> ABC: `` Generating dependency: /src/opt/cgt/cgtSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/cgt/cgtSat.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c > src/opt/cgt/cgtMan.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c > src/opt/cgt/cgtSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswCore.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/csw/cswCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c > src/opt/csw/cswCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/csw/cswCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c > src/opt/csw/cswCore.d -> ABC: `` Generating dependency: /src/opt/csw/cswCut.c +-> ABC: `` Generating dependency: /src/opt/csw/cswMan.c +-> ABC: `` Generating dependency: /src/opt/csw/cswTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/csw/cswCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c > src/opt/csw/cswCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/csw/cswMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/csw/cswMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c > src/opt/csw/cswMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/csw/cswTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/csw/cswTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c > src/opt/csw/cswTable.d -> ABC: `` Generating dependency: /src/opt/dar/darBalance.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darBalance.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c > src/opt/dar/darBalance.d --> ABC: `` Generating dependency: /src/opt/dar/darCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dar/darCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c > src/opt/dar/darCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dar/darData.c -> ABC: `` Generating dependency: /src/opt/dar/darCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c > src/opt/dar/darCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/dar/darData.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darData.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c > src/opt/dar/darData.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darLib.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c > src/opt/dar/darLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darMan.c @@ -5016,762 +5051,763 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darPrec.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darPrec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c > src/opt/dar/darPrec.d -> ABC: `` Generating dependency: /src/opt/dar/darRefact.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darPrec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c > src/opt/dar/darPrec.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darRefact.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c > src/opt/dar/darRefact.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darScript.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darScript.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c > src/opt/dar/darScript.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauCanon.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c > src/opt/dau/dauCanon.d -> ABC: `` Generating dependency: /src/opt/dau/dauCore.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c > src/opt/dau/dauCanon.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c > src/opt/dau/dauCore.d -> ABC: `` Generating dependency: /src/opt/dau/dauCount.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauCount.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c > src/opt/dau/dauCount.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauDivs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauDivs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c > src/opt/dau/dauDivs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c > src/opt/dau/dauDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauEnum.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauEnum.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c > src/opt/dau/dauEnum.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauGia.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauGia.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c > src/opt/dau/dauGia.d -> ABC: `` Generating dependency: /src/opt/dau/dauMerge.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauGia.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c > src/opt/dau/dauGia.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c > src/opt/dau/dauMerge.d -> ABC: `` Generating dependency: /src/opt/dau/dauNonDsd.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauNonDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c > src/opt/dau/dauNonDsd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauNpn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauNpn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c > src/opt/dau/dauNpn.d -> ABC: `` Generating dependency: /src/opt/dau/dauNpn2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauNpn2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c > src/opt/dau/dauNpn2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/dau/dauTree.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauTree.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c > src/opt/dau/dauTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dau/dauTree.c -> ABC: `` Generating dependency: /src/opt/dsc/dsc.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauTree.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c > src/opt/dau/dauTree.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dsc/dsc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c > src/opt/dsc/dsc.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmArea.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmArea.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c > src/opt/sfm/sfmArea.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmCnf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c > src/opt/sfm/sfmCnf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmCore.c --> ABC: `` Generating dependency: /src/opt/sfm/sfmDec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c > src/opt/sfm/sfmCore.d +-> ABC: `` Generating dependency: /src/opt/sfm/sfmDec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c > src/opt/sfm/sfmDec.d --> ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c > src/opt/sfm/sfmLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmNtk.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c > src/opt/sfm/sfmNtk.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c > src/opt/sfm/sfmSat.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmTim.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c > src/opt/sfm/sfmSat.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmTim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c > src/opt/sfm/sfmTim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmMit.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmMit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c > src/opt/sfm/sfmMit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c > src/opt/sfm/sfmWin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c > src/opt/sbd/sbd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c > src/opt/sfm/sfmWin.d +-> ABC: `` Generating dependency: /src/opt/sbd/sbdCore.c -> ABC: `` Generating dependency: /src/opt/sbd/sbdCnf.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c > src/opt/sbd/sbdCore.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c > src/opt/sbd/sbdCnf.d --> ABC: `` Generating dependency: /src/opt/sbd/sbdCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c > src/opt/sbd/sbdCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c > src/opt/sbd/sbdCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCut2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCut2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c > src/opt/sbd/sbdCut2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/sbd/sbdLut.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/sbd/sbdLut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c > src/opt/sbd/sbdLut.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdPath.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdPath.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c > src/opt/sbd/sbdPath.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/sbd/sbdSat.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c > src/opt/sbd/sbdSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdWin.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c > src/opt/sbd/sbdWin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satMem.c --> ABC: `` Generating dependency: /src/sat/bsat/satInter.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c > src/opt/sbd/sbdWin.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c > src/sat/bsat/satMem.d +-> ABC: `` Generating dependency: /src/opt/sbd/sbdSat.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c > src/opt/sbd/sbdSat.d +-> ABC: `` Generating dependency: /src/sat/bsat/satInter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satInter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c > src/sat/bsat/satInter.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satInterA.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satInterA.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c > src/sat/bsat/satInterA.d --> ABC: `` Generating dependency: /src/sat/bsat/satInterB.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bsat/satInterB.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satInterB.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c > src/sat/bsat/satInterB.d --> ABC: `` Generating dependency: /src/sat/bsat/satInterP.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satInterP.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c > src/sat/bsat/satInterP.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bsat/satInterP.c -> ABC: `` Generating dependency: /src/sat/bsat/satProof.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satInterP.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c > src/sat/bsat/satInterP.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satProof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c > src/sat/bsat/satProof.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c > src/sat/bsat/satSolver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c > src/sat/bsat/satSolver2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver2i.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver2i.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c > src/sat/bsat/satSolver2i.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c > src/sat/bsat/satSolver3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bsat/satStore.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satStore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c > src/sat/bsat/satStore.d --> ABC: `` Generating dependency: /src/sat/bsat/satTrace.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satTrace.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c > src/sat/bsat/satTrace.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bsat/satTrace.c -> ABC: `` Generating dependency: /src/sat/bsat/satTruth.c +-> ABC: `` Generating dependency: /src/sat/bsat/satStore.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satTrace.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c > src/sat/bsat/satTrace.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satStore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c > src/sat/bsat/satStore.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c > src/sat/bsat/satTruth.d -> ABC: `` Generating dependency: /src/sat/bsat/satUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c > src/sat/bsat/satUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatSolver.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/xsat/xsatSolver.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c > src/sat/xsat/xsatSolver.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatSolverAPI.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/xsat/xsatSolverAPI.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c > src/sat/xsat/xsatSolverAPI.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatCnfReader.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/xsat/xsatCnfReader.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c > src/sat/xsat/xsatCnfReader.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/xsat/xsatCnfReader.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c > src/sat/xsat/xsatCnfReader.d -> ABC: `` Generating dependency: /src/sat/satoko/solver.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/satoko/solver.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c > src/sat/satoko/solver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/satoko/solver.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c > src/sat/satoko/solver.d -> ABC: `` Generating dependency: /src/sat/satoko/solver_api.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/satoko/solver_api.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c > src/sat/satoko/solver_api.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/satoko/solver_api.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c > src/sat/satoko/solver_api.d -> ABC: `` Generating dependency: /src/sat/satoko/cnf_reader.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/satoko/cnf_reader.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c > src/sat/satoko/cnf_reader.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/csat/csat_apis.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/csat/csat_apis.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c > src/sat/csat/csat_apis.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatActivity.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatActivity.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c > src/sat/msat/msatActivity.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/csat/csat_apis.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c > src/sat/csat/csat_apis.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatClause.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatActivity.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c > src/sat/msat/msatActivity.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatClause.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c > src/sat/msat/msatClause.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/msat/msatMem.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatClauseVec.c +-> ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c +-> ABC: `` Generating dependency: /src/sat/msat/msatQueue.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatClauseVec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c > src/sat/msat/msatClauseVec.d --> ABC: `` Generating dependency: /src/sat/msat/msatMem.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c > src/sat/msat/msatMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatOrderH.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c > src/sat/msat/msatOrderH.d --> ABC: `` Generating dependency: /src/sat/msat/msatQueue.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatQueue.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c > src/sat/msat/msatQueue.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/msat/msatRead.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c > src/sat/msat/msatRead.d -> ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c +-> ABC: `` Generating dependency: /src/sat/msat/msatRead.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c > src/sat/msat/msatSolverApi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverCore.c +-> ABC: `` Generating dependency: /src/sat/msat/msatSolverIo.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c > src/sat/msat/msatRead.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c > src/sat/msat/msatSolverCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/msat/msatSolverIo.c --> ABC: `` Generating dependency: /src/sat/msat/msatSolverSearch.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverIo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c > src/sat/msat/msatSolverIo.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/msat/msatSolverSearch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverSearch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c > src/sat/msat/msatSolverSearch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/msat/msatSort.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatSort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c > src/sat/msat/msatSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/msat/msatVec.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatVec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c > src/sat/msat/msatVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/msat/msatSort.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatSort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c > src/sat/msat/msatSort.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfCore.c +-> ABC: `` Generating dependency: /src/sat/msat/msatVec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c > src/sat/cnf/cnfCore.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatVec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c > src/sat/msat/msatVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c > src/sat/cnf/cnfCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfData.c --> ABC: `` Generating dependency: /src/sat/cnf/cnfFast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfData.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c > src/sat/cnf/cnfData.d +-> ABC: `` Generating dependency: /src/sat/cnf/cnfFast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfFast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c > src/sat/cnf/cnfFast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfMan.c --> ABC: `` Generating dependency: /src/sat/cnf/cnfMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c > src/sat/cnf/cnfMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c > src/sat/cnf/cnfMap.d +-> ABC: `` Generating dependency: /src/sat/cnf/cnfMap.c -> ABC: `` Generating dependency: /src/sat/cnf/cnfPost.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfPost.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c > src/sat/cnf/cnfPost.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfUtil.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c > src/sat/cnf/cnfMap.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfPost.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c > src/sat/cnf/cnfPost.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c > src/sat/cnf/cnfUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfWrite.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfWrite.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c > src/sat/cnf/cnfWrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBCore.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c > src/sat/bmc/bmcBCore.d +-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c > src/sat/bmc/bmcBmc.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c > src/sat/bmc/bmcBmc2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c > src/sat/bmc/bmcBmc2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c > src/sat/bmc/bmcBmc3.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcAnd.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcAnd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c > src/sat/bmc/bmcBmcAnd.d --> ABC: `` Generating dependency: /src/sat/bmc/bmcBmci.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmci.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c > src/sat/bmc/bmcBmci.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcG.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcG.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c > src/sat/bmc/bmcBmcG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcAnd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c > src/sat/bmc/bmcBmcAnd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmci.c +-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcG.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcS.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcS.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c > src/sat/bmc/bmcBmcS.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmci.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c > src/sat/bmc/bmcBmci.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCare.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexCare.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c > src/sat/bmc/bmcCexCare.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcG.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c > src/sat/bmc/bmcBmcG.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcS.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c > src/sat/bmc/bmcBmcS.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexCare.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c > src/sat/bmc/bmcCexCare.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCut.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c > src/sat/bmc/bmcCexCut.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexDepth.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexDepth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c > src/sat/bmc/bmcCexDepth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexMin1.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c > src/sat/bmc/bmcCexMin1.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin2.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexDepth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c > src/sat/bmc/bmcCexDepth.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexMin1.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c > src/sat/bmc/bmcCexMin1.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexMin2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c > src/sat/bmc/bmcCexMin2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexTools.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c > src/sat/bmc/bmcCexTools.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcChain.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bmc/bmcClp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcChain.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c > src/sat/bmc/bmcChain.d +-> ABC: `` Generating dependency: /src/sat/bmc/bmcClp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcClp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c > src/sat/bmc/bmcClp.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcEco.c --> ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcEco.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c > src/sat/bmc/bmcEco.d +-> ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcExpand.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c > src/sat/bmc/bmcExpand.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcFault.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcFault.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c > src/sat/bmc/bmcFault.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bmc/bmcFx.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcFx.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c > src/sat/bmc/bmcFx.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bmc/bmcFx.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcFault.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c > src/sat/bmc/bmcFault.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcFx.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c > src/sat/bmc/bmcFx.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcGen.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcGen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c > src/sat/bmc/bmcGen.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcICheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcICheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c > src/sat/bmc/bmcICheck.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcInse.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcInse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c > src/sat/bmc/bmcInse.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcLoad.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c > src/sat/bmc/bmcLoad.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c > src/sat/bmc/bmcMaj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj2.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c > src/sat/bmc/bmcMaj.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c > src/sat/bmc/bmcMaj2.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj3.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c > src/sat/bmc/bmcMaj3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaxi.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaxi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c > src/sat/bmc/bmcMaxi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMesh.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c > src/sat/bmc/bmcMesh.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaxi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c > src/sat/bmc/bmcMaxi.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh2.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMesh.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c > src/sat/bmc/bmcMesh.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMesh2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c > src/sat/bmc/bmcMesh2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMulti.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcUnroll.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMulti.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c > src/sat/bmc/bmcMulti.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcUnroll.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c > src/sat/bmc/bmcUnroll.d -> ABC: `` Generating dependency: /src/sat/kissat/kissatSolver.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcUnroll.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c > src/sat/bmc/bmcUnroll.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kissatSolver.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatSolver.c > src/sat/kissat/kissatSolver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/kissatTest.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kissatTest.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatTest.c > src/sat/kissat/kissatTest.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/allocate.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/allocate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/allocate.c > src/sat/kissat/allocate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/kissatTest.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/allocate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/allocate.c > src/sat/kissat/allocate.d -> ABC: `` Generating dependency: /src/sat/kissat/analyze.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kissatTest.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatTest.c > src/sat/kissat/kissatTest.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/analyze.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/analyze.c > src/sat/kissat/analyze.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/ands.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/ands.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ands.c > src/sat/kissat/ands.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/ands.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ands.c > src/sat/kissat/ands.d -> ABC: `` Generating dependency: /src/sat/kissat/arena.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/arena.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c > src/sat/kissat/arena.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/assign.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/assign.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/assign.c > src/sat/kissat/assign.d --> ABC: `` Generating dependency: /src/sat/kissat/averages.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/averages.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/averages.c > src/sat/kissat/averages.d +-> ABC: `` Generating dependency: /src/sat/kissat/averages.c -> ABC: `` Generating dependency: /src/sat/kissat/backbone.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/averages.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/averages.c > src/sat/kissat/averages.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/backbone.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backbone.c > src/sat/kissat/backbone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/backtrack.c --> ABC: `` Generating dependency: /src/sat/kissat/build.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/backtrack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c > src/sat/kissat/backtrack.d +-> ABC: `` Generating dependency: /src/sat/kissat/build.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/bump.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/build.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/build.c > src/sat/kissat/build.d --> ABC: `` Generating dependency: /src/sat/kissat/bump.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/bump.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c > src/sat/kissat/bump.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/check.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/check.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c > src/sat/kissat/check.d -> ABC: `` Generating dependency: /src/sat/kissat/classify.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/check.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c > src/sat/kissat/check.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/classify.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/classify.c > src/sat/kissat/classify.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/clause.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/clause.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/clause.c > src/sat/kissat/clause.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/collect.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/collect.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/collect.c > src/sat/kissat/collect.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/colors.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/colors.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c > src/sat/kissat/colors.d -> ABC: `` Generating dependency: /src/sat/kissat/compact.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/compact.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c > src/sat/kissat/compact.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/colors.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c > src/sat/kissat/colors.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/compact.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c > src/sat/kissat/compact.d -> ABC: `` Generating dependency: /src/sat/kissat/config.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/config.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/config.c > src/sat/kissat/config.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/congruence.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/congruence.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c > src/sat/kissat/congruence.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/decide.c +-> ABC: `` Generating dependency: /src/sat/kissat/deduce.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/congruence.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c > src/sat/kissat/congruence.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/decide.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c > src/sat/kissat/decide.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/deduce.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/deduce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/deduce.c > src/sat/kissat/deduce.d -> ABC: `` Generating dependency: /src/sat/kissat/definition.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/definition.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/definition.c > src/sat/kissat/definition.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/dense.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/dense.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c > src/sat/kissat/dense.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/dump.c --> ABC: `` Generating dependency: /src/sat/kissat/eliminate.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/dense.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c > src/sat/kissat/dense.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/dump.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c > src/sat/kissat/dump.d +-> ABC: `` Generating dependency: /src/sat/kissat/eliminate.c +-> ABC: `` Generating dependency: /src/sat/kissat/error.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/eliminate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/eliminate.c > src/sat/kissat/eliminate.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/equivalences.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/equivalences.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/equivalences.c > src/sat/kissat/equivalences.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/error.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/error.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/error.c > src/sat/kissat/error.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/equivalences.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/equivalences.c > src/sat/kissat/equivalences.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/extend.c --> ABC: `` Generating dependency: /src/sat/kissat/factor.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/extend.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/extend.c > src/sat/kissat/extend.d +-> ABC: `` Generating dependency: /src/sat/kissat/factor.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/factor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/factor.c > src/sat/kissat/factor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/fastel.c --> ABC: `` Generating dependency: /src/sat/kissat/file.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/fastel.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/fastel.c > src/sat/kissat/fastel.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/file.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c > src/sat/kissat/file.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/file.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/file.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c > src/sat/kissat/file.d -> ABC: `` Generating dependency: /src/sat/kissat/flags.c --> ABC: `` Generating dependency: /src/sat/kissat/format.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/flags.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/flags.c > src/sat/kissat/flags.d +-> ABC: `` Generating dependency: /src/sat/kissat/format.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/format.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/format.c > src/sat/kissat/format.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/forward.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/forward.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/forward.c > src/sat/kissat/forward.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/gates.c --> ABC: `` Generating dependency: /src/sat/kissat/heap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/gates.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/gates.c > src/sat/kissat/gates.d +-> ABC: `` Generating dependency: /src/sat/kissat/heap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/heap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c > src/sat/kissat/heap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/ifthenelse.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/ifthenelse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ifthenelse.c > src/sat/kissat/ifthenelse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/import.c --> ABC: `` Generating dependency: /src/sat/kissat/internal.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/import.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/import.c > src/sat/kissat/import.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/internal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/internal.c > src/sat/kissat/internal.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/kimits.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kimits.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kimits.c > src/sat/kissat/kimits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/internal.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/internal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/internal.c > src/sat/kissat/internal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/kimits.c -> ABC: `` Generating dependency: /src/sat/kissat/kitten.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kimits.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kimits.c > src/sat/kissat/kimits.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kitten.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kitten.c > src/sat/kissat/kitten.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/learn.c -> ABC: `` Generating dependency: /src/sat/kissat/krite.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/krite.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c > src/sat/kissat/krite.d --> ABC: `` Generating dependency: /src/sat/kissat/learn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/learn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/learn.c > src/sat/kissat/learn.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/logging.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/logging.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/logging.c > src/sat/kissat/logging.d -> ABC: `` Generating dependency: /src/sat/kissat/kucky.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kucky.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kucky.c > src/sat/kissat/kucky.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/minimize.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/minimize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c > src/sat/kissat/minimize.d -> ABC: `` Generating dependency: /src/sat/kissat/mode.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/minimize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c > src/sat/kissat/minimize.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/mode.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/mode.c > src/sat/kissat/mode.d -> ABC: `` Generating dependency: /src/sat/kissat/kptions.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kptions.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kptions.c > src/sat/kissat/kptions.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/phases.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/phases.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/phases.c > src/sat/kissat/phases.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/preprocess.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/preprocess.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c > src/sat/kissat/preprocess.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/print.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/print.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/print.c > src/sat/kissat/print.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/probe.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/probe.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/probe.c > src/sat/kissat/probe.d -> ABC: `` Generating dependency: /src/sat/kissat/profile.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/profile.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/profile.c > src/sat/kissat/profile.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/promote.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/promote.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c > src/sat/kissat/promote.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/promote.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c > src/sat/kissat/promote.d -> ABC: `` Generating dependency: /src/sat/kissat/proof.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/proof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proof.c > src/sat/kissat/proof.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/propbeyond.c +-> ABC: `` Generating dependency: /src/sat/kissat/propdense.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/propbeyond.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propbeyond.c > src/sat/kissat/propbeyond.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/propdense.c --> ABC: `` Generating dependency: /src/sat/kissat/propinitially.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/propdense.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propdense.c > src/sat/kissat/propdense.d +-> ABC: `` Generating dependency: /src/sat/kissat/propinitially.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/propinitially.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propinitially.c > src/sat/kissat/propinitially.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/proprobe.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/proprobe.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c > src/sat/kissat/proprobe.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/propsearch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/propsearch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c > src/sat/kissat/propsearch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/queue.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/queue.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/queue.c > src/sat/kissat/queue.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/reduce.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/reduce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reduce.c > src/sat/kissat/reduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/reluctant.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/reluctant.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reluctant.c > src/sat/kissat/reluctant.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/reorder.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/reorder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reorder.c > src/sat/kissat/reorder.d -> ABC: `` Generating dependency: /src/sat/kissat/rephase.c +-> ABC: `` Generating dependency: /src/sat/kissat/report.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/rephase.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/rephase.c > src/sat/kissat/rephase.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/report.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/report.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c > src/sat/kissat/report.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/resize.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/resize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c > src/sat/kissat/resize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/resize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c > src/sat/kissat/resize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/resolve.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/resolve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resolve.c > src/sat/kissat/resolve.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/resources.c +-> ABC: `` Generating dependency: /src/sat/kissat/restart.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/resources.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resources.c > src/sat/kissat/resources.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/restart.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c > src/sat/kissat/restart.d -> ABC: `` Generating dependency: /src/sat/kissat/search.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/restart.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/search.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/search.c > src/sat/kissat/search.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/restart.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c > src/sat/kissat/restart.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/shrink.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/smooth.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/shrink.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/shrink.c > src/sat/kissat/shrink.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/smooth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/smooth.c > src/sat/kissat/smooth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/sort.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/shrink.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/shrink.c > src/sat/kissat/shrink.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/sort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sort.c > src/sat/kissat/sort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/stack.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/stack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c > src/sat/kissat/stack.d +-> ABC: `` Generating dependency: /src/sat/kissat/stack.c -> ABC: `` Generating dependency: /src/sat/kissat/statistics.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/stack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c > src/sat/kissat/stack.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/statistics.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/statistics.c > src/sat/kissat/statistics.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/strengthen.c --> ABC: `` Generating dependency: /src/sat/kissat/substitute.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/strengthen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c > src/sat/kissat/strengthen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/strengthen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c > src/sat/kissat/strengthen.d +-> ABC: `` Generating dependency: /src/sat/kissat/substitute.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/substitute.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c > src/sat/kissat/substitute.d --> ABC: `` Generating dependency: /src/sat/kissat/sweep.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/sweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sweep.c > src/sat/kissat/sweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/terminate.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/terminate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/terminate.c > src/sat/kissat/terminate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/sweep.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/sweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sweep.c > src/sat/kissat/sweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/tiers.c +-> ABC: `` Generating dependency: /src/sat/kissat/terminate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/tiers.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/tiers.c > src/sat/kissat/tiers.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/terminate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/terminate.c > src/sat/kissat/terminate.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/trail.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/trail.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/trail.c > src/sat/kissat/trail.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/transitive.c +-> ABC: `` Generating dependency: /src/sat/kissat/utilities.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/transitive.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/transitive.c > src/sat/kissat/transitive.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/utilities.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/utilities.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/utilities.c > src/sat/kissat/utilities.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/vector.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/vector.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c > src/sat/kissat/vector.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/vivify.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/vivify.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vivify.c > src/sat/kissat/vivify.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/vector.c -> ABC: `` Generating dependency: /src/sat/kissat/walk.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/walk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c > src/sat/kissat/walk.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/vector.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c > src/sat/kissat/vector.d -> ABC: `` Generating dependency: /src/sat/kissat/warmup.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/walk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c > src/sat/kissat/walk.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/warmup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/warmup.c > src/sat/kissat/warmup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/watch.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/watch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/watch.c > src/sat/kissat/watch.d -> ABC: `` Generating dependency: /src/sat/kissat/weaken.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/watch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/watch.c > src/sat/kissat/watch.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/weaken.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/weaken.c > src/sat/kissat/weaken.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/bdc/bdcCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c > src/bool/bdc/bdcCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcDec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/bdc/bdcDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c > src/bool/bdc/bdcDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcSpfd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/bdc/bdcSpfd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c > src/bool/bdc/bdcSpfd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/bdc/bdcTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c > src/bool/bdc/bdcTable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/dec/decAbc.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decAbc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c > src/bool/dec/decAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decAbc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c > src/bool/dec/decAbc.d -> ABC: `` Generating dependency: /src/bool/dec/decFactor.c -> ABC: `` Generating dependency: /src/bool/dec/decMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decFactor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c > src/bool/dec/decFactor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c > src/bool/dec/decMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/dec/decPrint.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decPrint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c > src/bool/dec/decPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decPrint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c > src/bool/dec/decPrint.d -> ABC: `` Generating dependency: /src/bool/dec/decUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c > src/bool/dec/decUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c > src/bool/kit/kitAig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitBdd.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitBdd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c > src/bool/kit/kitBdd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitCloud.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitCloud.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c > src/bool/kit/kitCloud.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/cloud.c --> ABC: `` Generating dependency: /src/bool/kit/kitDsd.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/cloud.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c > src/bool/kit/cloud.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c > src/bool/kit/kitDsd.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitBdd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c > src/bool/kit/kitBdd.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitCloud.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c > src/bool/kit/kitCloud.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/cloud.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c > src/bool/kit/cloud.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitFactor.c +-> ABC: `` Generating dependency: /src/bool/kit/kitDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitFactor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c > src/bool/kit/kitFactor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitGraph.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c > src/bool/kit/kitDsd.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitGraph.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c > src/bool/kit/kitGraph.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitHop.c --> ABC: `` Generating dependency: /src/bool/kit/kitIsop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitHop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c > src/bool/kit/kitHop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/kit/kitIsop.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitIsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c > src/bool/kit/kitIsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitPla.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitPla.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c > src/bool/kit/kitPla.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitSop.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitSop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c > src/bool/kit/kitSop.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitTruth.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c > src/bool/kit/kitTruth.d -> ABC: `` Generating dependency: /src/bool/lucky/lucky.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/lucky.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c > src/bool/lucky/lucky.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckyFast16.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckyFast16.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c > src/bool/lucky/luckyFast16.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckyFast6.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckyFast6.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c > src/bool/lucky/luckyFast6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckyRead.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckyRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c > src/bool/lucky/luckyRead.d --> ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckySimple.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c > src/bool/lucky/luckySimple.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c -> ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckySwapIJ.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c > src/bool/lucky/luckySwapIJ.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckySimple.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c > src/bool/lucky/luckySimple.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckySwap.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckySwap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c > src/bool/lucky/luckySwap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/rsb/rsbDec6.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/rsb/rsbDec6.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c > src/bool/rsb/rsbDec6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/rpo/rpo.c -> ABC: `` Generating dependency: /src/bool/rsb/rsbMan.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/pdr/pdrCnf.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/rpo/rpo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c > src/bool/rpo/rpo.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/rsb/rsbMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c > src/bool/rsb/rsbMan.d --> ABC: `` Generating dependency: /src/bool/rpo/rpo.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/rpo/rpo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c > src/bool/rpo/rpo.d --> ABC: `` Generating dependency: /src/proof/pdr/pdrCnf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c > src/proof/pdr/pdrCnf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrCore.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d +-> ABC: `` Generating dependency: /src/proof/pdr/pdrInv.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrIncr.c --> ABC: `` Generating dependency: /src/proof/pdr/pdrInv.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrIncr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c > src/proof/pdr/pdrIncr.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrInv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c > src/proof/pdr/pdrInv.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c > src/proof/pdr/pdrMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrInv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c > src/proof/pdr/pdrInv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c > src/proof/pdr/pdrMan.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrIncr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c > src/proof/pdr/pdrIncr.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c > src/proof/pdr/pdrSat.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c > src/proof/pdr/pdrTsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim2.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c > src/proof/pdr/pdrTsim2.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim3.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c > src/proof/pdr/pdrTsim3.d --> ABC: `` Generating dependency: /src/proof/abs/absDup.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c > src/proof/abs/absDup.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrUtil.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c > src/proof/pdr/pdrUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c > src/proof/pdr/pdrUtil.d +-> ABC: `` Generating dependency: /src/proof/abs/absDup.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c > src/proof/abs/absDup.d -> ABC: `` Generating dependency: /src/proof/abs/absGla.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absGla.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c > src/proof/abs/absGla.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absGlaOld.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c > src/proof/abs/absGlaOld.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absIter.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absIter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c > src/proof/abs/absIter.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOldCex.c --> ABC: `` Generating dependency: /src/proof/abs/absOldRef.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absOldCex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c > src/proof/abs/absOldCex.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absOldRef.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c > src/proof/abs/absOldRef.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/abs/absOldRef.c -> ABC: `` Generating dependency: /src/proof/abs/absOldSat.c -> ABC: `` Generating dependency: /src/proof/abs/absOldSim.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absOldRef.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c > src/proof/abs/absOldRef.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absOldSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c > src/proof/abs/absOldSat.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absOldSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c > src/proof/abs/absOldSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absOut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c > src/proof/abs/absOut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absPth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absPth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c > src/proof/abs/absPth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absRef.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absRef.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c > src/proof/abs/absRef.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absRefSelect.c @@ -5783,334 +5819,332 @@ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absRpmOld.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c > src/proof/abs/absRpmOld.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absVta.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absVta.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c > src/proof/abs/absVta.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c > src/proof/abs/absUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/liveness.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/liveness.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c > src/proof/live/liveness.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/liveness_sim.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/live/ltl_parser.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/liveness.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c > src/proof/live/liveness.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/liveness_sim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c > src/proof/live/liveness_sim.d +-> ABC: `` Generating dependency: /src/proof/live/ltl_parser.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/ltl_parser.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c > src/proof/live/ltl_parser.d -> ABC: `` Generating dependency: /src/proof/live/kliveness.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/live/monotone.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/kliveness.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c > src/proof/live/kliveness.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/monotone.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c > src/proof/live/monotone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/live/monotone.c -> ABC: `` Generating dependency: /src/proof/live/disjunctiveMonotone.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/monotone.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c > src/proof/live/monotone.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/disjunctiveMonotone.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c > src/proof/live/disjunctiveMonotone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/arenaViolation.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/arenaViolation.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c > src/proof/live/arenaViolation.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/kLiveConstraints.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c > src/proof/live/kLiveConstraints.d --> ABC: `` Generating dependency: /src/proof/live/combination.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/combination.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c > src/proof/live/combination.d +-> ABC: `` Generating dependency: /src/proof/ssc/sscClass.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/ssc/sscClass.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/live/combination.c -> ABC: `` Generating dependency: /src/proof/ssc/sscCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssc/sscClass.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c > src/proof/ssc/sscClass.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/combination.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c > src/proof/live/combination.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssc/sscCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c > src/proof/ssc/sscCore.d -> ABC: `` Generating dependency: /src/proof/ssc/sscSat.c -> ABC: `` Generating dependency: /src/proof/ssc/sscSim.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssc/sscSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c > src/proof/ssc/sscSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssc/sscSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c > src/proof/ssc/sscSim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssc/sscSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c > src/proof/ssc/sscSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscUtil.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssc/sscUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c > src/proof/ssc/sscUtil.d --> ABC: `` Generating dependency: /src/proof/int/intCheck.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c > src/proof/int/intCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/int/intCheck.c -> ABC: `` Generating dependency: /src/proof/int/intContain.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c > src/proof/int/intCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intContain.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c > src/proof/int/intContain.d -> ABC: `` Generating dependency: /src/proof/int/intCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c > src/proof/int/intCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intCtrex.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intCtrex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c > src/proof/int/intCtrex.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intDup.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intCtrex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c > src/proof/int/intCtrex.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c > src/proof/int/intDup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intFrames.c +-> ABC: `` Generating dependency: /src/proof/int/intInter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intFrames.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c > src/proof/int/intFrames.d +-> ABC: `` Generating dependency: /src/proof/int/intM114.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intM114.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c > src/proof/int/intM114.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/int/intInter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intInter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c > src/proof/int/intInter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/int/intM114.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intM114.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c > src/proof/int/intM114.d -> ABC: `` Generating dependency: /src/proof/int/intMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c > src/proof/int/intMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c > src/proof/int/intUtil.d -> ABC: `` Generating dependency: /src/proof/cec/cecCec.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecCec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c > src/proof/cec/cecCec.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecChoice.c -> ABC: `` Generating dependency: /src/proof/cec/cecClass.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecChoice.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c > src/proof/cec/cecChoice.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecClass.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c > src/proof/cec/cecClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecCec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c > src/proof/cec/cecCec.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecClass.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c > src/proof/cec/cecClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecChoice.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c > src/proof/cec/cecChoice.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c > src/proof/cec/cecCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecCorr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecCorr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c > src/proof/cec/cecCorr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecIso.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecIso.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c > src/proof/cec/cecIso.d --> ABC: `` Generating dependency: /src/proof/cec/cecMan.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/cec/cecPat.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/cec/cecMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c > src/proof/cec/cecMan.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecPat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c > src/proof/cec/cecPat.d +-> ABC: `` Generating dependency: /src/proof/cec/cecPat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecPat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c > src/proof/cec/cecPat.d -> ABC: `` Generating dependency: /src/proof/cec/cecProve.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecProve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecProve.c > src/proof/cec/cecProve.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSat.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c > src/proof/cec/cecSat.d --> ABC: `` Generating dependency: /src/proof/cec/cecSatG.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c > src/proof/cec/cecSatG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/cec/cecSatG.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c > src/proof/cec/cecSat.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c > src/proof/cec/cecSatG.d -> ABC: `` Generating dependency: /src/proof/cec/cecSatG2.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c > src/proof/cec/cecSatG2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c > src/proof/cec/cecSatG2.d -> ABC: `` Generating dependency: /src/proof/cec/cecSatG3.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSeq.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c > src/proof/cec/cecSatG3.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c > src/proof/cec/cecSeq.d -> ABC: `` Generating dependency: /src/proof/cec/cecSim.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/cec/cecSolve.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c > src/proof/cec/cecSeq.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c > src/proof/cec/cecSim.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSolve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c > src/proof/cec/cecSolve.d +-> ABC: `` Generating dependency: /src/proof/cec/cecSolve.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSolve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c > src/proof/cec/cecSolve.d -> ABC: `` Generating dependency: /src/proof/cec/cecSolveG.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSolveG.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c > src/proof/cec/cecSolveG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSolveG.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c > src/proof/cec/cecSolveG.d -> ABC: `` Generating dependency: /src/proof/cec/cecSplit.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSplit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c > src/proof/cec/cecSplit.d -> ABC: `` Generating dependency: /src/proof/cec/cecSynth.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSynth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c > src/proof/cec/cecSynth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSweep.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c > src/proof/cec/cecSweep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecCl.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c > src/proof/cec/cecSweep.d +-> ABC: `` Generating dependency: /src/proof/acec/acecCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecCl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c > src/proof/acec/acecCl.d --> ABC: `` Generating dependency: /src/proof/acec/acecCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c > src/proof/acec/acecCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecCo.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecCo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c > src/proof/acec/acecCo.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecBo.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecCo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c > src/proof/acec/acecCo.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecBo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c > src/proof/acec/acecBo.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecRe.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecRe.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c > src/proof/acec/acecRe.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecPa.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecPa.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c > src/proof/acec/acecPa.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecPo.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecPa.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c > src/proof/acec/acecPa.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecPo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c > src/proof/acec/acecPo.d -> ABC: `` Generating dependency: /src/proof/acec/acecPool.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecPool.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c > src/proof/acec/acecPool.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/acec/acecFadds.c -> ABC: `` Generating dependency: /src/proof/acec/acecCover.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecCover.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c > src/proof/acec/acecCover.d --> ABC: `` Generating dependency: /src/proof/acec/acecFadds.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecFadds.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c > src/proof/acec/acecFadds.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecMult.c -> ABC: `` Generating dependency: /src/proof/acec/acecNorm.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecMult.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c > src/proof/acec/acecMult.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecMult.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c > src/proof/acec/acecMult.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecNorm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c > src/proof/acec/acecNorm.d -> ABC: `` Generating dependency: /src/proof/acec/acecOrder.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecOrder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c > src/proof/acec/acecOrder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecPolyn.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecPolyn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c > src/proof/acec/acecPolyn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecPolyn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c > src/proof/acec/acecPolyn.d -> ABC: `` Generating dependency: /src/proof/acec/acecSt.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecSt.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c > src/proof/acec/acecSt.d -> ABC: `` Generating dependency: /src/proof/acec/acecTree.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecTree.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c > src/proof/acec/acecTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecUtil.c --> ABC: `` Generating dependency: /src/proof/acec/acec2Mult.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c > src/proof/acec/acecUtil.d +-> ABC: `` Generating dependency: /src/proof/acec/acec2Mult.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acec2Mult.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c > src/proof/acec/acec2Mult.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/acec/acecXor.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchAig.c +-> ABC: `` Generating dependency: /src/proof/dch/dchChoice.c +-> ABC: `` Generating dependency: /src/proof/acec/acecXor.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecXor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c > src/proof/acec/acecXor.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c > src/proof/dch/dchAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/dch/dchChoice.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchChoice.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c > src/proof/dch/dchChoice.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchClass.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchClass.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c > src/proof/dch/dchClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchCnf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c > src/proof/dch/dchCnf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchCore.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchMan.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c > src/proof/dch/dchMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c > src/proof/dch/dchSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchSim.c +-> ABC: `` Generating dependency: /src/proof/dch/dchSimSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c > src/proof/dch/dchSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/dch/dchSimSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchSimSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c > src/proof/dch/dchSimSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchSweep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c > src/proof/dch/dchSweep.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigApi.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c > src/proof/fraig/fraigApi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigCanon.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c > src/proof/fraig/fraigApi.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c > src/proof/fraig/fraigCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigFanout.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigFanout.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c > src/proof/fraig/fraigFanout.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigFeed.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigFeed.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c > src/proof/fraig/fraigFeed.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigMan.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c > src/proof/fraig/fraigMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c -> ABC: `` Generating dependency: /src/proof/fraig/fraigMem.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c > src/proof/fraig/fraigMan.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c > src/proof/fraig/fraigMem.d --> ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigNode.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c > src/proof/fraig/fraigNode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigNode.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c > src/proof/fraig/fraigNode.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigPrime.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigPrime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c > src/proof/fraig/fraigPrime.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c > src/proof/fraig/fraigSat.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c > src/proof/fraig/fraigTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c +-> ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c > src/proof/fraig/fraigUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigVec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c > src/proof/fraig/fraigVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraBmc.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraBmc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c > src/proof/fra/fraBmc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraCec.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraCec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c > src/proof/fra/fraCec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraClass.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraBmc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c > src/proof/fra/fraBmc.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraCec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c > src/proof/fra/fraCec.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraClass.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c > src/proof/fra/fraClass.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraClau.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraClau.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c > src/proof/fra/fraClau.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraClaus.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraClaus.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c > src/proof/fra/fraClaus.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraCnf.c --> ABC: `` Generating dependency: /src/proof/fra/fraCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c > src/proof/fra/fraCnf.d +-> ABC: `` Generating dependency: /src/proof/fra/fraCore.c +-> ABC: `` Generating dependency: /src/proof/fra/fraHot.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c > src/proof/fra/fraCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/fra/fraHot.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraHot.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c > src/proof/fra/fraHot.d -> ABC: `` Generating dependency: /src/proof/fra/fraImp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraImp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c > src/proof/fra/fraImp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/fra/fraInd.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraInd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c > src/proof/fra/fraInd.d -> ABC: `` Generating dependency: /src/proof/fra/fraIndVer.c +-> ABC: `` Generating dependency: /src/proof/fra/fraInd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraIndVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c > src/proof/fra/fraIndVer.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraInd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c > src/proof/fra/fraInd.d -> ABC: `` Generating dependency: /src/proof/fra/fraLcr.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraLcr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c > src/proof/fra/fraLcr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c > src/proof/fra/fraMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraPart.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraPart.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c > src/proof/fra/fraPart.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraSat.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c > src/proof/fra/fraSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraSec.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c > src/proof/fra/fraSat.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraSec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c > src/proof/fra/fraSec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraSim.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c > src/proof/fra/fraSim.d -> ABC: `` Generating dependency: /src/proof/ssw/sswAig.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c > src/proof/ssw/sswAig.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswBmc.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c > src/proof/ssw/sswAig.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswBmc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c > src/proof/ssw/sswBmc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswClass.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswClass.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c > src/proof/ssw/sswClass.d -> ABC: `` Generating dependency: /src/proof/ssw/sswCnf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c > src/proof/ssw/sswCnf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c --> ABC: `` Generating dependency: /src/proof/ssw/sswCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswConstr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c > src/proof/ssw/sswConstr.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c > src/proof/ssw/sswCore.d +-> ABC: `` Generating dependency: /src/proof/ssw/sswCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c > src/proof/ssw/sswCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswDyn.c @@ -6119,271 +6153,270 @@ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswFilter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c > src/proof/ssw/sswFilter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswIslands.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswIslands.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c > src/proof/ssw/sswIslands.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswIslands.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c > src/proof/ssw/sswIslands.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswLcorr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswLcorr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c > src/proof/ssw/sswLcorr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c > src/proof/ssw/sswMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswPart.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswPart.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c > src/proof/ssw/sswPart.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswPairs.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswPairs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c > src/proof/ssw/sswPairs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswPairs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c > src/proof/ssw/sswPairs.d -> ABC: `` Generating dependency: /src/proof/ssw/sswRarity.c +-> ABC: `` Generating dependency: /src/proof/ssw/sswSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswRarity.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c > src/proof/ssw/sswRarity.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c > src/proof/ssw/sswSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/ssw/sswSat.c -> ABC: `` Generating dependency: /src/proof/ssw/sswSemi.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c > src/proof/ssw/sswSat.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSemi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c > src/proof/ssw/sswSemi.d +-> ABC: `` Generating dependency: /src/proof/ssw/sswSimSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/ssw/sswSim.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c > src/proof/ssw/sswSim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/ssw/sswSimSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSimSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c > src/proof/ssw/sswSimSat.d --> ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c > src/proof/ssw/sswSweep.d -> ABC: `` Generating dependency: /src/proof/ssw/sswUnique.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswUnique.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c > src/proof/ssw/sswUnique.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/aig/aigCheck.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c > src/aig/aig/aigCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswUnique.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c > src/proof/ssw/sswUnique.d +-> ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c > src/proof/ssw/sswSweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswSim.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c > src/proof/ssw/sswSim.d -> ABC: `` Generating dependency: /src/aig/aig/aigCanon.c +-> ABC: `` Generating dependency: /src/aig/aig/aigCheck.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c > src/aig/aig/aigCheck.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c > src/aig/aig/aigCanon.d -> ABC: `` Generating dependency: /src/aig/aig/aigCuts.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigCuts.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c > src/aig/aig/aigCuts.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigDfs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c > src/aig/aig/aigDfs.d -> ABC: `` Generating dependency: /src/aig/aig/aigDup.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c > src/aig/aig/aigDup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigFanout.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c > src/aig/aig/aigDup.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigFanout.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c > src/aig/aig/aigFanout.d +-> ABC: `` Generating dependency: /src/aig/aig/aigFrames.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/aig/aigFrames.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigFrames.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c > src/aig/aig/aigFrames.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigInter.c +-> ABC: `` Generating dependency: /src/aig/aig/aigJust.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigInter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c > src/aig/aig/aigInter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/aig/aigJust.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigJust.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c > src/aig/aig/aigJust.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigMan.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c > src/aig/aig/aigMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigMem.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigJust.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c > src/aig/aig/aigJust.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c > src/aig/aig/aigMan.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c > src/aig/aig/aigMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigMffc.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigMffc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c > src/aig/aig/aigMffc.d -> ABC: `` Generating dependency: /src/aig/aig/aigObj.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c > src/aig/aig/aigObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/aig/aigOper.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigOper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c > src/aig/aig/aigOper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigOper.c -> ABC: `` Generating dependency: /src/aig/aig/aigOrder.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigOper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c > src/aig/aig/aigOper.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigOrder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c > src/aig/aig/aigOrder.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPack.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigPack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c > src/aig/aig/aigPack.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPart.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigPart.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c > src/aig/aig/aigPart.d -> ABC: `` Generating dependency: /src/aig/aig/aigPartReg.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigPartReg.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c > src/aig/aig/aigPartReg.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPartSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigPartSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c > src/aig/aig/aigPartSat.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigRepr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigRepr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c > src/aig/aig/aigRepr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigRet.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigRet.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c > src/aig/aig/aigRet.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigRetF.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigRetF.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c > src/aig/aig/aigRetF.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigScl.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigScl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c > src/aig/aig/aigScl.d -> ABC: `` Generating dependency: /src/aig/aig/aigShow.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigScl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c > src/aig/aig/aigScl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigShow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c > src/aig/aig/aigShow.d -> ABC: `` Generating dependency: /src/aig/aig/aigSplit.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigSplit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c > src/aig/aig/aigSplit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigSplit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c > src/aig/aig/aigSplit.d -> ABC: `` Generating dependency: /src/aig/aig/aigTable.c +-> ABC: `` Generating dependency: /src/aig/aig/aigTiming.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c > src/aig/aig/aigTable.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigTiming.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c > src/aig/aig/aigTiming.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/aig/aigTiming.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigTiming.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c > src/aig/aig/aigTiming.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigTsim.c -> ABC: `` Generating dependency: /src/aig/aig/aigTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c > src/aig/aig/aigTruth.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigTsim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c > src/aig/aig/aigTsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/aig/aigTsim.c -> ABC: `` Generating dependency: /src/aig/aig/aigUtil.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigTsim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c > src/aig/aig/aigTsim.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c > src/aig/aig/aigUtil.d --> ABC: `` Generating dependency: /src/aig/aig/aigWin.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c > src/aig/aig/aigWin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigWin.c -> ABC: `` Generating dependency: /src/aig/saig/saigCone.c --> ABC: `` Generating dependency: /src/aig/saig/saigConstr.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c > src/aig/aig/aigWin.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigCone.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c > src/aig/saig/saigCone.d +-> ABC: `` Generating dependency: /src/aig/saig/saigConstr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigConstr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c > src/aig/saig/saigConstr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigConstr2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c > src/aig/saig/saigConstr2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigDual.c --> ABC: `` Generating dependency: /src/aig/saig/saigDup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigDual.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c > src/aig/saig/saigDual.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigInd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigInd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c > src/aig/saig/saigInd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigDup.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigIoa.c --> ABC: `` Generating dependency: /src/aig/saig/saigIso.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigIoa.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c > src/aig/saig/saigIoa.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigIso.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c > src/aig/saig/saigIso.d +-> ABC: `` Generating dependency: /src/aig/saig/saigIso.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/saig/saigIsoFast.c -> ABC: `` Generating dependency: /src/aig/saig/saigIsoSlow.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigIsoFast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c > src/aig/saig/saigIsoFast.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigIso.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c > src/aig/saig/saigIso.d +-> ABC: `` Generating dependency: /src/aig/saig/saigIsoFast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigIsoSlow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c > src/aig/saig/saigIsoSlow.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigMiter.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigIsoFast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c > src/aig/saig/saigIsoFast.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigMiter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c > src/aig/saig/saigMiter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigOutDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c > src/aig/saig/saigOutDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigPhase.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigPhase.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c > src/aig/saig/saigPhase.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigPhase.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c > src/aig/saig/saigPhase.d -> ABC: `` Generating dependency: /src/aig/saig/saigRetFwd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigRetFwd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c > src/aig/saig/saigRetFwd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigRetMin.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigRetMin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c > src/aig/saig/saigRetMin.d -> ABC: `` Generating dependency: /src/aig/saig/saigRetStep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigRetStep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c > src/aig/saig/saigRetStep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigScl.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigScl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c > src/aig/saig/saigScl.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c -> ABC: `` Generating dependency: /src/aig/saig/saigSimMv.c +-> ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigSimFast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c > src/aig/saig/saigSimFast.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigSimMv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c > src/aig/saig/saigSimMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSimSeq.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigSimSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c > src/aig/saig/saigSimSeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigStrSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c > src/aig/saig/saigStrSim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSwitch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigSwitch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c > src/aig/saig/saigSwitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSynch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigSynch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c > src/aig/saig/saigSynch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigTempor.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigTempor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c > src/aig/saig/saigTempor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigTrans.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigTrans.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c > src/aig/saig/saigTrans.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigWnd.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigWnd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c > src/aig/saig/saigWnd.d -> ABC: `` Generating dependency: /src/aig/gia/giaAig.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c > src/aig/gia/giaAig.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigWnd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c > src/aig/saig/saigWnd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c > src/aig/gia/giaAig.d -> ABC: `` Generating dependency: /src/aig/gia/giaAgi.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaAgi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c > src/aig/gia/giaAgi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaAgi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c > src/aig/gia/giaAgi.d -> ABC: `` Generating dependency: /src/aig/gia/giaAiger.c --> ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaAiger.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c > src/aig/gia/giaAiger.d +-> ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaAigerExt.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c > src/aig/gia/giaAigerExt.d -> ABC: `` Generating dependency: /src/aig/gia/giaBalAig.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaBalAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c > src/aig/gia/giaBalAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaBalAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c > src/aig/gia/giaBalAig.d -> ABC: `` Generating dependency: /src/aig/gia/giaBalLut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaBalLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c > src/aig/gia/giaBalLut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBalMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaBalMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c > src/aig/gia/giaBalMap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBidec.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaBidec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c > src/aig/gia/giaBidec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCCof.c +-> ABC: `` Generating dependency: /src/aig/gia/giaCex.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCCof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c > src/aig/gia/giaCCof.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c > src/aig/gia/giaCex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaCex.c -> ABC: `` Generating dependency: /src/aig/gia/giaClp.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c > src/aig/gia/giaCex.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaClp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c > src/aig/gia/giaClp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaClp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c > src/aig/gia/giaClp.d -> ABC: `` Generating dependency: /src/aig/gia/giaCof.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c > src/aig/gia/giaCof.d -> ABC: `` Generating dependency: /src/aig/gia/giaCone.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c > src/aig/gia/giaCof.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCone.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c > src/aig/gia/giaCone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSatOld.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCSatOld.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c > src/aig/gia/giaCSatOld.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSat.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c > src/aig/gia/giaCSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSat2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c > src/aig/gia/giaCSat2.d -> ABC: `` Generating dependency: /src/aig/gia/giaCSat3.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSatP.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c > src/aig/gia/giaCSat3.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCSatP.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c > src/aig/gia/giaCSatP.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCTas.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCTas.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c > src/aig/gia/giaCTas.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c > src/aig/gia/giaCut.d -> ABC: `` Generating dependency: /src/aig/gia/giaDecs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6394,258 +6427,260 @@ -> ABC: `` Generating dependency: /src/aig/gia/giaDfs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c > src/aig/gia/giaDfs.d +-> ABC: `` Generating dependency: /src/aig/gia/giaEdge.c -> ABC: `` Generating dependency: /src/aig/gia/giaDup.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c > src/aig/gia/giaDup.d --> ABC: `` Generating dependency: /src/aig/gia/giaEdge.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEdge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c > src/aig/gia/giaEdge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEmbed.c --> ABC: `` Generating dependency: /src/aig/gia/giaEnable.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEmbed.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c > src/aig/gia/giaEmbed.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEnable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c > src/aig/gia/giaEnable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaEnable.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEdge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c > src/aig/gia/giaEdge.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEnable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c > src/aig/gia/giaEnable.d -> ABC: `` Generating dependency: /src/aig/gia/giaEquiv.c --> ABC: `` Generating dependency: /src/aig/gia/giaEra.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEquiv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c > src/aig/gia/giaEquiv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaEra.c +-> ABC: `` Generating dependency: /src/aig/gia/giaEra2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEra.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c > src/aig/gia/giaEra.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaEra2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEra2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c > src/aig/gia/giaEra2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEsop.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c > src/aig/gia/giaEsop.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaExist.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c > src/aig/gia/giaEsop.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaExist.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c > src/aig/gia/giaExist.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFalse.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFalse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c > src/aig/gia/giaFalse.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFanout.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFanout.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c > src/aig/gia/giaFanout.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaForce.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaForce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c > src/aig/gia/giaForce.d -> ABC: `` Generating dependency: /src/aig/gia/giaFrames.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFrames.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c > src/aig/gia/giaFrames.d -> ABC: `` Generating dependency: /src/aig/gia/giaFront.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaForce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c > src/aig/gia/giaForce.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFrames.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c > src/aig/gia/giaFrames.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFront.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c > src/aig/gia/giaFront.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFx.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFx.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c > src/aig/gia/giaFx.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaGen.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFx.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c > src/aig/gia/giaFx.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaGen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c > src/aig/gia/giaGen.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaGig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaGig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c > src/aig/gia/giaGig.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaHash.c -> ABC: `` Generating dependency: /src/aig/gia/giaGlitch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaGlitch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c > src/aig/gia/giaGlitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaHash.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaHash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c > src/aig/gia/giaHash.d --> ABC: `` Generating dependency: /src/aig/gia/giaIf.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c > src/aig/gia/giaIf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaHash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c > src/aig/gia/giaHash.d -> ABC: `` Generating dependency: /src/aig/gia/giaIff.c +-> ABC: `` Generating dependency: /src/aig/gia/giaIf.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c > src/aig/gia/giaIf.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIff.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c > src/aig/gia/giaIff.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIiff.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIiff.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c > src/aig/gia/giaIiff.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIiff.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c > src/aig/gia/giaIiff.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIso.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c > src/aig/gia/giaIso.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaIso3.c -> ABC: `` Generating dependency: /src/aig/gia/giaIso2.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIso2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c > src/aig/gia/giaIso2.d --> ABC: `` Generating dependency: /src/aig/gia/giaIso3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIso3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c > src/aig/gia/giaIso3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaJf.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaJf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c > src/aig/gia/giaJf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaKf.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaKf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c > src/aig/gia/giaKf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaLf.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaLf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c > src/aig/gia/giaLf.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaKf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c > src/aig/gia/giaKf.d -> ABC: `` Generating dependency: /src/aig/gia/giaMf.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaLf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c > src/aig/gia/giaLf.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c > src/aig/gia/giaMf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMan.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c > src/aig/gia/giaMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMem.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c > src/aig/gia/giaMem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMfs.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c > src/aig/gia/giaMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c > src/aig/gia/giaMem.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c > src/aig/gia/giaMfs.d --> ABC: `` Generating dependency: /src/aig/gia/giaMini.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMini.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c > src/aig/gia/giaMini.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaMini.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMinLut.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMini.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c > src/aig/gia/giaMini.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMinLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c > src/aig/gia/giaMinLut.d --> ABC: `` Generating dependency: /src/aig/gia/giaMinLut2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaMulFind.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaMinLut2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMinLut2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c > src/aig/gia/giaMinLut2.d +-> ABC: `` Generating dependency: /src/aig/gia/giaMulFind.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMulFind.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMulFind.c > src/aig/gia/giaMulFind.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMuxes.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c > src/aig/gia/giaMuxes.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaNf.c +-> ABC: `` Generating dependency: /src/aig/gia/giaOf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaNf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c > src/aig/gia/giaNf.d +-> ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaOf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaOf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c > src/aig/gia/giaOf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMuxes.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c > src/aig/gia/giaMuxes.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPack.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaPack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c > src/aig/gia/giaPack.d -> ABC: `` Generating dependency: /src/aig/gia/giaPat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaPat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c > src/aig/gia/giaPat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPat2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaPat2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c > src/aig/gia/giaPat2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaPack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c > src/aig/gia/giaPack.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaQbf.c -> ABC: `` Generating dependency: /src/aig/gia/giaPf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaPf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c > src/aig/gia/giaPf.d --> ABC: `` Generating dependency: /src/aig/gia/giaQbf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaQbf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c > src/aig/gia/giaQbf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape1.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaReshape1.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c > src/aig/gia/giaReshape1.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaReshape1.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c > src/aig/gia/giaReshape1.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape2.c +-> ABC: `` Generating dependency: /src/aig/gia/giaResub.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaReshape2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c > src/aig/gia/giaReshape2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaResub.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaResub.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c > src/aig/gia/giaResub.d -> ABC: `` Generating dependency: /src/aig/gia/giaResub2.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaResub2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c > src/aig/gia/giaResub2.d --> ABC: `` Generating dependency: /src/aig/gia/giaResub3.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaResub3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c > src/aig/gia/giaResub3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaResub2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c > src/aig/gia/giaResub2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaResub6.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaResub6.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c > src/aig/gia/giaResub6.d +-> ABC: `` Generating dependency: /src/aig/gia/giaResub3.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaResub3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c > src/aig/gia/giaResub3.d -> ABC: `` Generating dependency: /src/aig/gia/giaRetime.c +-> ABC: `` Generating dependency: /src/aig/gia/giaResub6.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaRetime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c > src/aig/gia/giaRetime.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaResub6.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c > src/aig/gia/giaResub6.d -> ABC: `` Generating dependency: /src/aig/gia/giaRex.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaRex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c > src/aig/gia/giaRex.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatEdge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c > src/aig/gia/giaSatEdge.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatLE.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatLE.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c > src/aig/gia/giaSatLE.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatLut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c > src/aig/gia/giaSatLut.d -> ABC: `` Generating dependency: /src/aig/gia/giaSatMap.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c > src/aig/gia/giaSatMap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatoko.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c > src/aig/gia/giaSatoko.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatSyn.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c > src/aig/gia/giaSatMap.d -> ABC: `` Generating dependency: /src/aig/gia/giaSat3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatSyn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c > src/aig/gia/giaSatSyn.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSat3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c > src/aig/gia/giaSat3.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatoko.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c > src/aig/gia/giaSatoko.d -> ABC: `` Generating dependency: /src/aig/gia/giaScl.c --> ABC: `` Generating dependency: /src/aig/gia/giaScript.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaScl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c > src/aig/gia/giaScl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaScript.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaScript.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c > src/aig/gia/giaScript.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaShow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c > src/aig/gia/giaShow.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShrink.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c > src/aig/gia/giaShrink.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink6.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c > src/aig/gia/giaShrink6.d -> ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaSif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink7.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c > src/aig/gia/giaShrink7.d +-> ABC: `` Generating dependency: /src/aig/gia/giaSif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c > src/aig/gia/giaSif.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSim.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c > src/aig/gia/giaSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaSim2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSim2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c > src/aig/gia/giaSim2.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c > src/aig/gia/giaSim.d +-> ABC: `` Generating dependency: /src/aig/gia/giaSim2.c -> ABC: `` Generating dependency: /src/aig/gia/giaSimBase.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSimBase.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c > src/aig/gia/giaSimBase.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaSort.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c > src/aig/gia/giaSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSim2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c > src/aig/gia/giaSim2.d +-> ABC: `` Generating dependency: /src/aig/gia/giaSort.c -> ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c > src/aig/gia/giaSort.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c > src/aig/gia/giaSpeedup.d -> ABC: `` Generating dependency: /src/aig/gia/giaSplit.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSplit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c > src/aig/gia/giaSplit.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStg.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaStg.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c > src/aig/gia/giaStg.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStoch.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSplit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c > src/aig/gia/giaSplit.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaStg.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c > src/aig/gia/giaStg.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaStoch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c > src/aig/gia/giaStoch.d -> ABC: `` Generating dependency: /src/aig/gia/giaStr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaStr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c > src/aig/gia/giaStr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupMin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSupMin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c > src/aig/gia/giaSupMin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSupp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c > src/aig/gia/giaSupp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupps.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSupps.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c > src/aig/gia/giaSupps.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSweep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c > src/aig/gia/giaSweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSweeper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSweeper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d -> ABC: `` Generating dependency: /src/aig/gia/giaSwitch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSwitch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c > src/aig/gia/giaSwitch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c > src/aig/gia/giaTim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTis.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTis.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c > src/aig/gia/giaTis.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTranStoch.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTranStoch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c > src/aig/gia/giaTranStoch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTranStoch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c > src/aig/gia/giaTranStoch.d -> ABC: `` Generating dependency: /src/aig/gia/giaTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c > src/aig/gia/giaTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6653,11 +6688,11 @@ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTsim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c > src/aig/gia/giaTsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaUnate.c +-> ABC: `` Generating dependency: /src/aig/gia/giaUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaUnate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c > src/aig/gia/giaUnate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaUtil.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c > src/aig/gia/giaUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c > src/aig/gia/giaUtil.d -> ABC: `` Generating dependency: /src/aig/gia/giaBound.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaBound.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBound.c > src/aig/gia/giaBound.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6665,15 +6700,14 @@ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ioa/ioaReadAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c > src/aig/ioa/ioaReadAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ioa/ioaWriteAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c > src/aig/ioa/ioaWriteAig.d -> ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c +-> ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ioa/ioaUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c > src/aig/ioa/ioaUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ioa/ioaWriteAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c > src/aig/ioa/ioaWriteAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyBalance.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c > src/aig/ivy/ivyBalance.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCanon.c -> ABC: `` Generating dependency: /src/aig/ivy/ivyCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c > src/aig/ivy/ivyCanon.d @@ -6682,55 +6716,54 @@ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c > src/aig/ivy/ivyCut.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyCutTrav.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCutTrav.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c > src/aig/ivy/ivyCutTrav.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCutTrav.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c > src/aig/ivy/ivyCutTrav.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyDfs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c > src/aig/ivy/ivyDfs.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c > src/aig/ivy/ivyDsd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c > src/aig/ivy/ivyDsd.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFanout.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c > src/aig/ivy/ivyFanout.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyFastMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFastMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c > src/aig/ivy/ivyFastMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/ivy/ivyFraig.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/ivy/ivyFraig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFraig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c > src/aig/ivy/ivyFraig.d --> ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyHaig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c > src/aig/ivy/ivyHaig.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c +-> ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c +-> ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyHaig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c > src/aig/ivy/ivyHaig.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c > src/aig/ivy/ivyMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c > src/aig/ivy/ivyMem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyMulti.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMulti.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c > src/aig/ivy/ivyMulti.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyObj.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c > src/aig/ivy/ivyObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMulti.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c > src/aig/ivy/ivyMulti.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c > src/aig/ivy/ivyObj.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyOper.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyOper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c > src/aig/ivy/ivyOper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyResyn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c > src/aig/ivy/ivyResyn.d --> ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyRwr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c -> ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivySeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c > src/aig/ivy/ivySeq.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyRwr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyShow.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivySeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c > src/aig/ivy/ivySeq.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyShow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c > src/aig/ivy/ivyShow.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c > src/aig/ivy/ivyTable.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c @@ -6740,128 +6773,131 @@ -> ABC: `` Generating dependency: /src/aig/hop/hopBalance.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopBalance.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c > src/aig/hop/hopBalance.d -> ABC: `` Generating dependency: /src/aig/hop/hopCheck.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c > src/aig/hop/hopCheck.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopDfs.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c > src/aig/hop/hopDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopMan.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c > src/aig/hop/hopMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopMem.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c > src/aig/hop/hopMan.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c > src/aig/hop/hopMem.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopObj.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c > src/aig/hop/hopObj.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopOper.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopOper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c > src/aig/hop/hopOper.d -> ABC: `` Generating dependency: /src/aig/hop/hopTable.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c > src/aig/hop/hopTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopTruth.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c > src/aig/hop/hopTable.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c > src/aig/hop/hopTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c > src/aig/hop/hopUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAPI.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAPI.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c > src/bdd/cudd/cuddAPI.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddAbs.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddAbs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c > src/bdd/cudd/cuddAddAbs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddAbs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c > src/bdd/cudd/cuddAddAbs.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddApply.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddApply.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c > src/bdd/cudd/cuddAddApply.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddApply.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c > src/bdd/cudd/cuddAddApply.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddFind.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c > src/bdd/cudd/cuddAddFind.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddInv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c > src/bdd/cudd/cuddAddInv.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddIte.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c > src/bdd/cudd/cuddAddIte.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddWalsh.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c > src/bdd/cudd/cuddAddWalsh.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAndAbs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c > src/bdd/cudd/cuddAndAbs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddApa.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c > src/bdd/cudd/cuddApa.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAnneal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c > src/bdd/cudd/cuddAnneal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddApa.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c > src/bdd/cudd/cuddApa.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApprox.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddApprox.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c > src/bdd/cudd/cuddApprox.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddAbs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddAbs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c > src/bdd/cudd/cuddBddAbs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddIte.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c > src/bdd/cudd/cuddBddIte.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddIte.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c > src/bdd/cudd/cuddBddIte.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBridge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c > src/bdd/cudd/cuddBridge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCache.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCache.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddClip.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c > src/bdd/cudd/cuddClip.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c --> ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c > src/bdd/cudd/cuddCof.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCompose.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c > src/bdd/cudd/cuddCompose.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddDecomp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c > src/bdd/cudd/cuddDecomp.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddEssent.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddExact.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c > src/bdd/cudd/cuddExact.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddExport.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGenCof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c > src/bdd/cudd/cuddGenCof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGroup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c > src/bdd/cudd/cuddGroup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGroup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c > src/bdd/cudd/cuddGroup.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddInit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c > src/bdd/cudd/cuddInit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddInteract.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLCache.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c > src/bdd/cudd/cuddLCache.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLevelQ.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c > src/bdd/cudd/cuddLevelQ.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6870,14 +6906,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddMatMult.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c > src/bdd/cudd/cuddMatMult.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddPriority.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6885,70 +6920,69 @@ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddRef.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddReorder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c > src/bdd/cudd/cuddSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSign.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSolve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c > src/bdd/cudd/cuddSolve.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSplit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSplit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSubsetSP.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c > src/bdd/cudd/cuddSubsetSP.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c > src/bdd/cudd/cuddTable.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddWindow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c > src/bdd/cudd/cuddWindow.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddCount.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c > src/bdd/cudd/cuddZddCount.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddFuncs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c > src/bdd/cudd/cuddZddFuncs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddSetop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c > src/bdd/cudd/cuddZddSetop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddSymm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c > src/bdd/cudd/cuddZddSymm.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddAuto.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c > src/bdd/extrab/extraBddAuto.d @@ -6957,151 +6991,153 @@ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddCas.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c > src/bdd/extrab/extraBddCas.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddImage.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddMaxMin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c > src/bdd/extrab/extraBddMaxMin.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddMisc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c > src/bdd/extrab/extraBddMisc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddSet.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddSymm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c > src/bdd/extrab/extraBddSymm.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddThresh.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c > src/bdd/extrab/extraBddThresh.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddUnate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c > src/bdd/extrab/extraBddUnate.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdLocal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdLocal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d -> ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdProc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c > src/bdd/dsd/dsdProc.d -> ABC: `` Generating dependency: /src/bdd/dsd/dsdTree.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdTree.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c > src/bdd/dsd/dsdTree.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/epd/epd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/epd/epd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c > src/bdd/epd/epd.d -> ABC: `` Generating dependency: /src/bdd/mtr/mtrBasic.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/mtr/mtrBasic.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c > src/bdd/mtr/mtrBasic.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/mtr/mtrGroup.c --> ABC: `` Generating dependency: /src/bdd/reo/reoApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/mtr/mtrGroup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c > src/bdd/mtr/mtrGroup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/reo/reoApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c > src/bdd/reo/reoApi.d -> ABC: `` Generating dependency: /src/bdd/reo/reoCore.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c > src/bdd/reo/reoCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c > src/bdd/reo/reoCore.d -> ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoProfile.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c > src/bdd/reo/reoProfile.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoShuffle.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoShuffle.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c > src/bdd/reo/reoShuffle.d -> ABC: `` Generating dependency: /src/bdd/reo/reoSift.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoSift.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c > src/bdd/reo/reoSift.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoSwap.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoSwap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c > src/bdd/reo/reoSwap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoSwap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c > src/bdd/reo/reoSwap.d -> ABC: `` Generating dependency: /src/bdd/reo/reoTransfer.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoTransfer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c > src/bdd/reo/reoTransfer.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoUnits.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoTransfer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c > src/bdd/reo/reoTransfer.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoUnits.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c > src/bdd/reo/reoUnits.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cas/casCore.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cas/casCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c > src/bdd/cas/casCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cas/casDec.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cas/casCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c > src/bdd/cas/casCore.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cas/casDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c > src/bdd/cas/casDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/bbr/bbrCex.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrCex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c > src/bdd/bbr/bbrCex.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/bbr/bbrImage.c +-> ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c +-> ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrCex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c > src/bdd/bbr/bbrCex.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrImage.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c > src/bdd/bbr/bbrImage.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrNtbdd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c > src/bdd/bbr/bbrNtbdd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrNtbdd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c > src/bdd/bbr/bbrNtbdd.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrReach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c > src/bdd/bbr/bbrReach.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Cluster.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Cluster.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c > src/bdd/llb/llb1Cluster.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Cluster.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c > src/bdd/llb/llb1Cluster.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Constr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Constr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Core.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c > src/bdd/llb/llb1Core.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Group.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c > src/bdd/llb/llb1Group.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Group.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c > src/bdd/llb/llb1Group.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Hint.c --> ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Hint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c > src/bdd/llb/llb1Hint.d +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Man.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c > src/bdd/llb/llb1Man.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Matrix.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Matrix.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c > src/bdd/llb/llb1Matrix.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Matrix.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c > src/bdd/llb/llb1Matrix.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c --> ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Pivot.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c > src/bdd/llb/llb1Pivot.d -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Reach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c > src/bdd/llb/llb1Reach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Reach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c > src/bdd/llb/llb1Reach.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Sched.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Sched.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c > src/bdd/llb/llb1Sched.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Bad.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Bad.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c > src/bdd/llb/llb2Bad.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Sched.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c > src/bdd/llb/llb1Sched.d -> ABC: `` Generating dependency: /src/bdd/llb/llb2Core.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Core.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c > src/bdd/llb/llb2Core.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Driver.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Driver.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c > src/bdd/llb/llb2Driver.d -> ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Dump.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c > src/bdd/llb/llb2Dump.d --> ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c -/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Flow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c > src/bdd/llb/llb2Flow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c +/build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Flow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c > src/bdd/llb/llb2Flow.d -> ABC: `` Generating dependency: /src/bdd/llb/llb2Image.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Image.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c > src/bdd/llb/llb2Image.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb3Image.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb3Image.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c > src/bdd/llb/llb3Image.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb3Nonlin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb3Nonlin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c > src/bdd/llb/llb3Nonlin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7112,13 +7148,13 @@ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Image.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c > src/bdd/llb/llb4Image.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Nonlin.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Nonlin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c > src/bdd/llb/llb4Nonlin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Sweep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Sweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c > src/bdd/llb/llb4Sweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: Using CC=g++ -> ABC: Using CXX=g++ -> ABC: Using AR=ar @@ -7133,67 +7169,67 @@ -> ABC: Using CFLAGS=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -> ABC: `` Compiling: /src/map/if/acd/ac_wrapper.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/map/if/acd/ac_wrapper.cpp -o src/map/if/acd/ac_wrapper.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rar/rewire_miaig.cpp --> ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/rar/rewire_miaig.cpp -o src/opt/rar/rewire_miaig.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o -> ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o -> ABC: `` Compiling: /src/sat/glucose/Glucose.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o +-> ABC: `` Compiling: /src/sat/glucose/Options.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/glucose/Options.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o --> ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp -> ABC: `` Compiling: /src/sat/glucose/System.cpp +-> ABC: `` Compiling: /src/sat/glucose2/AbcGlucose2.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/System.cpp -o src/sat/glucose/System.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucose2.cpp -o src/sat/glucose2/AbcGlucose2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/glucose2/AbcGlucose2.cpp -> ABC: `` Compiling: /src/sat/glucose2/AbcGlucoseCmd2.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucose2.cpp -o src/sat/glucose2/AbcGlucose2.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucoseCmd2.cpp -o src/sat/glucose2/AbcGlucoseCmd2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucoseCmd2.cpp -o src/sat/glucose2/AbcGlucoseCmd2.o -> ABC: `` Compiling: /src/sat/glucose2/Glucose2.cpp -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/Glucose2.cpp -o src/sat/glucose2/Glucose2.o -> ABC: `` Compiling: /src/sat/glucose2/Options2.cpp -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/Options2.cpp -o src/sat/glucose2/Options2.o -> ABC: `` Compiling: /src/sat/glucose2/SimpSolver2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/SimpSolver2.cpp -o src/sat/glucose2/SimpSolver2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/System2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/System2.cpp -o src/sat/glucose2/System2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaRrr.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaRrr.cpp -o src/aig/gia/giaRrr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTransduction.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaTransduction.cpp -o src/aig/gia/giaTransduction.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaRrr.cpp -o src/aig/gia/giaRrr.o -> ABC: `` Compiling: /src/aig/gia/giaTtopt.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaTransduction.cpp -o src/aig/gia/giaTransduction.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaTtopt.cpp -o src/aig/gia/giaTtopt.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcAig.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c -o src/base/abc/abcAig.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcBarBuf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c -o src/base/abc/abcBarBuf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcBlifMv.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c -o src/base/abc/abcBarBuf.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c -o src/base/abc/abcBlifMv.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c -o src/base/abc/abcAig.o -> ABC: `` Compiling: /src/base/abc/abcCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c -o src/base/abc/abcCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcDfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c -o src/base/abc/abcDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7217,47 +7253,40 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieNew.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c -o src/base/abc/abcHieNew.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcLatch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c -o src/base/abc/abcLatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c -o src/base/abc/abcLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcMinBase.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c -o src/base/abc/abcMinBase.o -In file included from /usr/include/c++/14/bits/hashtable_policy.h:34, - from /usr/include/c++/14/bits/hashtable.h:35, - from /usr/include/c++/14/bits/unordered_map.h:33, - from /usr/include/c++/14/unordered_map:41, - from src/map/if/acd/ac_decomposition.hpp:33, - from src/map/if/acd/ac_wrapper.cpp:20: -In member function 'std::_Head_base<1ul, std::array, false>::_Head_base&>(std::array&)', - inlined from 'std::_Tuple_impl<1ul, std::array, unsigned int>::_Tuple_impl&, unsigned int, void>(std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, - inlined from 'std::_Tuple_impl<0ul, abc::kitty::static_truth_table<11u, false>, std::array, unsigned int>::_Tuple_impl&, std::array&, unsigned int, void>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, - inlined from 'std::tuple, std::array, unsigned int>::tuple&, std::array&, unsigned int, true, true>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:1491:54, - inlined from 'std::make_tuple&, std::array&, unsigned int>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)std::tuple&>::type>::__type, std::__strip_reference_wrapper&>::type>::__type, std::__strip_reference_wrapper::type>::__type>' at /usr/include/c++/14/tuple:2644:62, - inlined from 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations2(unsigned int, unsigned int)' at src/map/if/acd/ac_decomposition.hpp:564:65: -/usr/include/c++/14/tuple:210:11: warning: 'res_perm' may be used uninitialized [-Wmaybe-uninitialized] - 210 | : _M_head_impl(std::forward<_UHead>(__h)) { } - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -src/map/if/acd/ac_decomposition.hpp: In member function 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations2(unsigned int, unsigned int)': -src/map/if/acd/ac_decomposition.hpp:547:40: note: 'res_perm' declared here - 547 | std::array res_perm; - | ^~~~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcNames.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c -o src/base/abc/abcMinBase.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c -o src/base/abc/abcNames.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcNetlist.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c -o src/base/abc/abcNetlist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcNtk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -o src/base/abc/abcNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -o src/base/abc/abcObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcRefs.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcShow.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcSop.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcUtil.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -7356,17 +7385,8 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/base/abc/abcRefs.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abc/abcShow.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abc/abcSop.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abc/abcUtil.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o +-> ABC: `` Compiling: /src/base/abci/abc.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -o src/base/abci/abc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/extra/extra.h:44, from src/base/abc/abcHieNew.c:28: @@ -7380,60 +7400,44 @@ src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' 61 | int Fanins[2]; // fanin literals | ^~~~~~ --> ABC: `` Compiling: /src/base/abci/abc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -o src/base/abci/abc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcAttach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c -o src/base/abci/abcAttach.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcAuto.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -o src/base/abci/abcAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBalance.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -o src/base/abci/abcAuto.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c -o src/base/abci/abcBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Au_ObjFaninId(abc::Au_Obj_t_*, int)', - inlined from 'abc::Au_ObjFanin(abc::Au_Obj_t_*, int)' at src/base/abc/abcHieNew.c:169:92, - inlined from 'abc::Au_ObjFanin2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:172:94, - inlined from 'abc::Au_ObjGetXsimFan2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:1310:30, - inlined from 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)' at src/base/abc/abcHieNew.c:1355:30: -src/base/abc/abcHieNew.c:165:127: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] - 165 | static inline int Au_ObjFaninId( Au_Obj_t * p, int i ) { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_Lit2Var(p->Fanins[i]); } - | ~~~~~~~~~~~^ -src/base/abc/abcHieNew.c: In function 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)': -src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' - 61 | int Fanins[2]; // fanin literals - | ^~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBidec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -o src/base/abci/abcBidec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In member function 'std::_Head_base<1ul, std::array, false>::_Head_base&>(std::array&)', - inlined from 'std::_Tuple_impl<1ul, std::array, unsigned int>::_Tuple_impl&, unsigned int, void>(std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, - inlined from 'std::_Tuple_impl<0ul, abc::kitty::static_truth_table<11u, false>, std::array, unsigned int>::_Tuple_impl&, std::array&, unsigned int, void>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, - inlined from 'std::tuple, std::array, unsigned int>::tuple&, std::array&, unsigned int, true, true>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:1491:54, - inlined from 'std::make_tuple&, std::array&, unsigned int>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)std::tuple&>::type>::__type, std::__strip_reference_wrapper&>::type>::__type, std::__strip_reference_wrapper::type>::__type>' at /usr/include/c++/14/tuple:2644:62, - inlined from 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>' at src/map/if/acd/ac_decomposition.hpp:518:67: -/usr/include/c++/14/tuple:210:11: warning: 'res_perm' may be used uninitialized [-Wmaybe-uninitialized] - 210 | : _M_head_impl(std::forward<_UHead>(__h)) { } - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -src/map/if/acd/ac_decomposition.hpp: In member function 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>': -src/map/if/acd/ac_decomposition.hpp:514:40: note: 'res_perm' declared here - 514 | std::array res_perm; - | ^~~~~~~~ -> ABC: `` Compiling: /src/base/abci/abcBm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -o src/base/abci/abcBm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBmc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o -> ABC: `` Compiling: /src/base/abci/abcCas.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c -o src/base/abci/abcCas.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCascade.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c -o src/base/abci/abcCascade.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCollapse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c -o src/base/abci/abcCollapse.o +In function 'abc::Au_ObjFaninId(abc::Au_Obj_t_*, int)', + inlined from 'abc::Au_ObjFanin(abc::Au_Obj_t_*, int)' at src/base/abc/abcHieNew.c:169:92, + inlined from 'abc::Au_ObjFanin2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:172:94, + inlined from 'abc::Au_ObjGetXsimFan2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:1310:30, + inlined from 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)' at src/base/abc/abcHieNew.c:1355:30: +src/base/abc/abcHieNew.c:165:127: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] + 165 | static inline int Au_ObjFaninId( Au_Obj_t * p, int i ) { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_Lit2Var(p->Fanins[i]); } + | ~~~~~~~~~~~^ +src/base/abc/abcHieNew.c: In function 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)': +src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' + 61 | int Fanins[2]; // fanin literals + | ^~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c -o src/base/abci/abcCut.o @@ -7446,10 +7450,29 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c -o src/base/abci/abcDec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDetect.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c -o src/base/abci/abcDetect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /usr/include/c++/14/bits/hashtable_policy.h:34, + from /usr/include/c++/14/bits/hashtable.h:35, + from /usr/include/c++/14/bits/unordered_map.h:33, + from /usr/include/c++/14/unordered_map:41, + from src/map/if/acd/ac_decomposition.hpp:33, + from src/map/if/acd/ac_wrapper.cpp:20: +In member function 'std::_Head_base<1ul, std::array, false>::_Head_base&>(std::array&)', + inlined from 'std::_Tuple_impl<1ul, std::array, unsigned int>::_Tuple_impl&, unsigned int, void>(std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, + inlined from 'std::_Tuple_impl<0ul, abc::kitty::static_truth_table<11u, false>, std::array, unsigned int>::_Tuple_impl&, std::array&, unsigned int, void>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, + inlined from 'std::tuple, std::array, unsigned int>::tuple&, std::array&, unsigned int, true, true>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:1491:54, + inlined from 'std::make_tuple&, std::array&, unsigned int>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)std::tuple&>::type>::__type, std::__strip_reference_wrapper&>::type>::__type, std::__strip_reference_wrapper::type>::__type>' at /usr/include/c++/14/tuple:2644:62, + inlined from 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations2(unsigned int, unsigned int)' at src/map/if/acd/ac_decomposition.hpp:564:65: +/usr/include/c++/14/tuple:210:11: warning: 'res_perm' may be used uninitialized [-Wmaybe-uninitialized] + 210 | : _M_head_impl(std::forward<_UHead>(__h)) { } + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +src/map/if/acd/ac_decomposition.hpp: In member function 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations2(unsigned int, unsigned int)': +src/map/if/acd/ac_decomposition.hpp:547:40: note: 'res_perm' declared here + 547 | std::array res_perm; + | ^~~~~~~~ -> ABC: `` Compiling: /src/base/abci/abcDress.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c -o src/base/abci/abcDress.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7461,13 +7484,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c -o src/base/abci/abcDsd.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcEco.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c -o src/base/abci/abcEco.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcExact.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c -o src/base/abci/abcEco.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c -o src/base/abci/abcExact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcExtract.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c -o src/base/abci/abcExtract.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7476,13 +7499,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcFx.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c -o src/base/abci/abcFx.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcFxu.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c -o src/base/abci/abcFxu.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcGen.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c -o src/base/abci/abcGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcHaig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c -o src/base/abci/abcHaig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7503,10 +7526,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c -o src/base/abci/abcLut.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcLutmin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c -o src/base/abci/abcLutmin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c -o src/base/abci/abcMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7522,6 +7545,19 @@ -> ABC: `` Compiling: /src/base/abci/abcMiter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c -o src/base/abci/abcMiter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In member function 'std::_Head_base<1ul, std::array, false>::_Head_base&>(std::array&)', + inlined from 'std::_Tuple_impl<1ul, std::array, unsigned int>::_Tuple_impl&, unsigned int, void>(std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, + inlined from 'std::_Tuple_impl<0ul, abc::kitty::static_truth_table<11u, false>, std::array, unsigned int>::_Tuple_impl&, std::array&, unsigned int, void>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, + inlined from 'std::tuple, std::array, unsigned int>::tuple&, std::array&, unsigned int, true, true>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:1491:54, + inlined from 'std::make_tuple&, std::array&, unsigned int>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)std::tuple&>::type>::__type, std::__strip_reference_wrapper&>::type>::__type, std::__strip_reference_wrapper::type>::__type>' at /usr/include/c++/14/tuple:2644:62, + inlined from 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>' at src/map/if/acd/ac_decomposition.hpp:518:67: +/usr/include/c++/14/tuple:210:11: warning: 'res_perm' may be used uninitialized [-Wmaybe-uninitialized] + 210 | : _M_head_impl(std::forward<_UHead>(__h)) { } + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +src/map/if/acd/ac_decomposition.hpp: In member function 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>': +src/map/if/acd/ac_decomposition.hpp:514:40: note: 'res_perm' declared here + 514 | std::array res_perm; + | ^~~~~~~~ -> ABC: `` Compiling: /src/base/abci/abcMulti.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c -o src/base/abci/abcMulti.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7551,25 +7587,25 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcProve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c -o src/base/abci/abcProve.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQbf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c -o src/base/abci/abcQbf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQuant.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c -o src/base/abci/abcQuant.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRec3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -o src/base/abci/abcRec3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcReconv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c -o src/base/abci/abcReconv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcReach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c -o src/base/abci/abcReach.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRefactor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c -o src/base/abci/abcRefactor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRenode.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c -o src/base/abci/abcRenode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7581,57 +7617,53 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcResub.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c -o src/base/abci/abcResub.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRewrite.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c -o src/base/abci/abcRewrite.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRpo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c -o src/base/abci/abcRpo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abci/abcRunGen.c -> ABC: `` Compiling: /src/base/abci/abcRr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c -o src/base/abci/abcRr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abci/abcRunGen.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c -o src/base/abci/abcRunGen.o --> ABC: `` Compiling: /src/base/abci/abcSat.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c -o src/base/abci/abcSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abci/abcSat.c -> ABC: `` Compiling: /src/base/abci/abcSaucy.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c -o src/base/abci/abcSat.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c -o src/base/abci/abcSaucy.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcScorr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c -o src/base/abci/abcScorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSense.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c -o src/base/abci/abcSense.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSpeedup.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c -o src/base/abci/abcSpeedup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c -o src/base/abci/abcSpeedup.o -> ABC: `` Compiling: /src/base/abci/abcStrash.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c -o src/base/abci/abcStrash.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSweep.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c -o src/base/abci/abcStrash.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c -o src/base/abci/abcSweep.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/base/abci/abc.c: In function 'abc::Abc_CommandSymFun(abc::Abc_Frame_t_*, int, char**)': -src/base/abci/abc.c:25914:36: warning: '%s' directive output between 0 and 2147483646 bytes may cause result to exceed 'INT_MAX' [-Wformat-overflow=] -25914 | sprintf( pCommand, "read_truth %s", pTruth ); - | ^~ -> ABC: `` Compiling: /src/base/abci/abcSymm.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c -o src/base/abci/abcSymm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcTim.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c -o src/base/abci/abcSymm.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c -o src/base/abci/abcTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcTiming.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c -o src/base/abci/abcTiming.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcUnate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c -o src/base/abci/abcUnate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcUnreach.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c -o src/base/abci/abcUnreach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcVerify.c @@ -7648,10 +7680,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c -o src/base/cmd/cmdApi.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdAuto.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c -o src/base/cmd/cmdAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdFlag.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c -o src/base/cmd/cmdFlag.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7661,16 +7693,12 @@ -> ABC: `` Compiling: /src/base/cmd/cmdLoad.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c -o src/base/cmd/cmdLoad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/base/abci/abcSaucy.c: In function 'abc::saucy_alloc(abc::Abc_Ntk_t_*)': -src/base/abci/abcSaucy.c:2656:37: warning: '*_146' may be used uninitialized [-Wmaybe-uninitialized] - 2656 | && s->clist && s->nextnon[-1] && s->prevnon - | ~~~~~~~~~~~~~^ -> ABC: `` Compiling: /src/base/cmd/cmdPlugin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c -o src/base/cmd/cmdPlugin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdStarter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c -o src/base/cmd/cmdStarter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c -o src/base/cmd/cmdUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7692,6 +7720,10 @@ -> ABC: `` Compiling: /src/base/io/ioReadBench.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c -o src/base/io/ioReadBench.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/base/abci/abc.c: In function 'abc::Abc_CommandSymFun(abc::Abc_Frame_t_*, int, char**)': +src/base/abci/abc.c:25914:36: warning: '%s' directive output between 0 and 2147483646 bytes may cause result to exceed 'INT_MAX' [-Wformat-overflow=] +25914 | sprintf( pCommand, "read_truth %s", pTruth ); + | ^~ -> ABC: `` Compiling: /src/base/io/ioReadBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c -o src/base/io/ioReadBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7700,76 +7732,71 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBlifMv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c -o src/base/io/ioReadBlifMv.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadDsd.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c -o src/base/io/ioReadDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c -o src/base/io/ioReadDsd.o -> ABC: `` Compiling: /src/base/io/ioReadEdif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c -o src/base/io/ioReadEdif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/io/ioReadEqn.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c -o src/base/io/ioReadEqn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/io/ioReadEqn.c -> ABC: `` Compiling: /src/base/io/ioReadPla.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c -o src/base/io/ioReadEqn.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c -o src/base/io/ioReadPla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadPlaMo.c +src/base/abci/abcSaucy.c: In function 'abc::saucy_alloc(abc::Abc_Ntk_t_*)': +src/base/abci/abcSaucy.c:2656:37: warning: '*_146' may be used uninitialized [-Wmaybe-uninitialized] + 2656 | && s->clist && s->nextnon[-1] && s->prevnon + | ~~~~~~~~~~~~~^ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c -o src/base/io/ioReadPlaMo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadVerilog.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c -o src/base/io/ioReadVerilog.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioUtil.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c -o src/base/io/ioUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteAiger.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c -o src/base/io/ioUtil.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c -o src/base/io/ioWriteAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBaf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c -o src/base/io/ioWriteBaf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBblif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c -o src/base/io/ioWriteBblif.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBench.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c -o src/base/io/ioWriteBench.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c -o src/base/io/ioWriteBlif.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBlifMv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c -o src/base/io/ioWriteBlifMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBook.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c -o src/base/io/ioWriteBook.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c -o src/base/io/ioWriteCnf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteDot.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c -o src/base/io/ioWriteDot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteEqn.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c -o src/base/io/ioWriteEqn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c -o src/base/io/ioWriteEqn.o -> ABC: `` Compiling: /src/base/io/ioWriteEdgelist.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c -o src/base/io/ioWriteEdgelist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteGml.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c -o src/base/io/ioWriteGml.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteHMetis.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteHMetis.c -o src/base/io/ioWriteHMetis.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/io/ioWriteList.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/io/ioWritePla.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/io/ioWriteVerilog.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/io/ioWriteHMetis.c: In function 'abc::Io_WriteHMetis(abc::Abc_Ntk_t_*, char*, int, int, int)': src/base/io/ioWriteHMetis.c:42:15: warning: argument 1 null where non-null expected [-Wnonnull] 42 | fclose( pFHMetis ); @@ -7780,16 +7807,25 @@ /usr/include/stdio.h:184:12: note: in a call to function 'fclose' declared 'nonnull' 184 | extern int fclose (FILE *__stream) __nonnull ((1)); | ^~~~~~ +-> ABC: `` Compiling: /src/base/io/ioWriteList.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/io/ioWritePla.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o +-> ABC: `` Compiling: /src/base/io/ioWriteVerilog.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteSmv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -o src/base/io/ioWriteSmv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/main.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c -o src/base/main/main.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainFrame.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c -o src/base/main/mainFrame.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainInit.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c -o src/base/main/mainInit.o -> ABC: `` Compiling: /src/base/main/mainLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c -o src/base/main/mainLib.o @@ -7803,13 +7839,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c -o src/base/main/mainUtils.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c -o src/base/exor/exor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorBits.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c -o src/base/exor/exorBits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorCubes.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c -o src/base/exor/exorCubes.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7818,58 +7854,58 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorList.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c -o src/base/exor/exorList.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -o src/base/exor/exorUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/ver/verCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/ver/verCore.c -> ABC: `` Compiling: /src/base/ver/verFormula.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c -o src/base/ver/verFormula.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/ver/verParse.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c -o src/base/ver/verParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/ver/verParse.c -> ABC: `` Compiling: /src/base/ver/verStream.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c -o src/base/ver/verParse.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c -o src/base/ver/verStream.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcAbs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c -o src/base/wlc/wlcAbs.o --> ABC: `` Compiling: /src/base/wlc/wlcAbs2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/wlc/wlcAbs2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c -o src/base/wlc/wlcAbs2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcAbc.c --> ABC: `` Compiling: /src/base/wlc/wlcPth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c -o src/base/wlc/wlcAbc.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -o src/base/wlc/wlcPth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/wlc/wlcPth.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -o src/base/wlc/wlcPth.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcBlast.c --> ABC: `` Compiling: /src/base/wlc/wlcCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c -o src/base/wlc/wlcBlast.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c -o src/base/wlc/wlcCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/wlc/wlcCom.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c -o src/base/wlc/wlcCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcGraft.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c -o src/base/wlc/wlcGraft.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcJson.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c -o src/base/wlc/wlcJson.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c -o src/base/wlc/wlcMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcNdr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c -o src/base/wlc/wlcNdr.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcNtk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c -o src/base/wlc/wlcNtk.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcReadSmt.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c -o src/base/wlc/wlcReadSmt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcReadVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c -o src/base/wlc/wlcReadVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7881,10 +7917,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcStdin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -o src/base/wlc/wlcStdin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcUif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -o src/base/wlc/wlcUif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c -o src/base/wlc/wlcWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7896,10 +7932,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnBlast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c -o src/base/wln/wlnBlast.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c -o src/base/wln/wlnCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnGuide.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c -o src/base/wln/wlnGuide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7911,44 +7947,22 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnNtk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c -o src/base/wln/wlnNtk.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c -o src/base/wln/wlnObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c -o src/base/wln/wlnRead.o -In file included from src/base/wlc/wlc.h:34, - from src/base/wlc/wlcReadVer.c:21: -In function 'abc::Abc_TtSetHex(unsigned long*, int, int)', - inlined from 'abc::Abc_TtReadHexNumber(unsigned long*, char*)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1576:21, - inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)' at src/base/wlc/wlcReadVer.c:1001:40: -/build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:189:75: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 189 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } - | ~~~~~~^ -src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)': -src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 - 985 | int v, b, Value, nBits, nInts; - | ^~~~~ -In function 'abc::Abc_TtSetHex(unsigned long*, int, int)', - inlined from 'abc::Abc_TtReadHexNumber(unsigned long*, char*)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1576:21, - inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)' at src/base/wlc/wlcReadVer.c:1001:40: -/build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:189:77: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 189 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } - | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)': -src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 - 985 | int v, b, Value, nBits, nInts; - | ^~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnRetime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c -o src/base/wln/wlnRetime.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnRtl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c -o src/base/wln/wlnRtl.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnWlc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c -o src/base/wln/wlnWlc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnWriteVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -o src/base/wln/wlnWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7966,8 +7980,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbMfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -o src/base/acb/acbMfs.o --> ABC: `` Compiling: /src/base/acb/acbPush.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/acb/acbPush.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -o src/base/acb/acbPush.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbSets.c @@ -7976,6 +7990,24 @@ -> ABC: `` Compiling: /src/base/acb/acbTest.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c -o src/base/acb/acbTest.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/acb/acbUtil.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c -o src/base/acb/acbUtil.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/bac/bacBlast.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c -o src/base/bac/bacBlast.o +-> ABC: `` Compiling: /src/base/bac/bacBac.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c -o src/base/bac/bacBac.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/bac/bacCom.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c -o src/base/bac/bacCom.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/bac/bacLib.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c -o src/base/bac/bacLib.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/bac/bacNtk.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c -o src/base/bac/bacNtk.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/base/wln/wlnWriteVer.c:21: In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)' at src/base/wln/wln.h:115:103, @@ -8025,24 +8057,28 @@ src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ --> ABC: `` Compiling: /src/base/acb/acbUtil.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c -o src/base/acb/acbUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/bac/bacBlast.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c -o src/base/bac/bacBlast.o --> ABC: `` Compiling: /src/base/bac/bacBac.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c -o src/base/bac/bacBac.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/bac/bacCom.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c -o src/base/bac/bacCom.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/bac/bacLib.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c -o src/base/bac/bacLib.o --> ABC: `` Compiling: /src/base/bac/bacNtk.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c -o src/base/bac/bacNtk.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/base/wlc/wlc.h:34, + from src/base/wlc/wlcReadVer.c:21: +In function 'abc::Abc_TtSetHex(unsigned long*, int, int)', + inlined from 'abc::Abc_TtReadHexNumber(unsigned long*, char*)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1576:21, + inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)' at src/base/wlc/wlcReadVer.c:1001:40: +/build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:189:75: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 189 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } + | ~~~~~~^ +src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)': +src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 + 985 | int v, b, Value, nBits, nInts; + | ^~~~~ +In function 'abc::Abc_TtSetHex(unsigned long*, int, int)', + inlined from 'abc::Abc_TtReadHexNumber(unsigned long*, char*)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1576:21, + inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)' at src/base/wlc/wlcReadVer.c:1001:40: +/build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:189:77: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 189 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } + | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)': +src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 + 985 | int v, b, Value, nBits, nInts; + | ^~~~~ -> ABC: `` Compiling: /src/base/bac/bacPrsBuild.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c -o src/base/bac/bacPrsBuild.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8050,26 +8086,26 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c -o src/base/bac/bacPrsTrans.o -> ABC: `` Compiling: /src/base/bac/bacPtr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c -o src/base/bac/bacPtr.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacPtrAbc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c -o src/base/bac/bacPtrAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacReadBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c -o src/base/bac/bacReadBlif.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacReadSmt.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c -o src/base/bac/bacReadSmt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacReadVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c -o src/base/bac/bacReadVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c -o src/base/bac/bacWriteBlif.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteSmt.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c -o src/base/bac/bacWriteSmt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c -o src/base/bac/bacWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8090,10 +8126,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaReadVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c -o src/base/cba/cbaReadVer.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaWriteBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c -o src/base/cba/cbaWriteBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaWriteVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c -o src/base/cba/cbaWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8101,10 +8137,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c -o src/base/pla/plaCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaHash.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c -o src/base/pla/plaHash.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c -o src/base/pla/plaMan.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c -o src/base/pla/plaHash.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaMerge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c -o src/base/pla/plaMerge.o @@ -8117,28 +8153,28 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaWrite.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c -o src/base/pla/plaWrite.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/test/test.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c -o src/base/test/test.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c -o src/map/mapper/mapper.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCanon.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c -o src/map/mapper/mapperCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCore.c --> ABC: `` Compiling: /src/map/mapper/mapperCreate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c -o src/map/mapper/mapperCore.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c -o src/map/mapper/mapperCreate.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/map/mapper/mapperCreate.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c -o src/map/mapper/mapperCreate.o -> ABC: `` Compiling: /src/map/mapper/mapperCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c -o src/map/mapper/mapperCut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCutUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c -o src/map/mapper/mapperCutUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c -o src/map/mapper/mapperLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8159,10 +8195,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c -o src/map/mapper/mapperTime.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTree.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c -o src/map/mapper/mapperTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c -o src/map/mapper/mapperTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8180,25 +8216,25 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioFunc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c -o src/map/mio/mioFunc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioParse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c -o src/map/mio/mioParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioRead.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c -o src/map/mio/mioRead.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioSop.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c -o src/map/mio/mioRead.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c -o src/map/mio/mioSop.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioUtils.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c -o src/map/mio/mioUtils.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/super/super.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c -o src/map/super/super.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/super/superAnd.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c -o src/map/mio/mioUtils.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c -o src/map/super/superAnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/super/superGate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c -o src/map/super/superGate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8225,10 +8261,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec10.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c -o src/map/if/ifDec10.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec16.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c -o src/map/if/ifDec16.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec66.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec66.c -o src/map/if/ifDec66.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8243,10 +8279,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifLibBox.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c -o src/map/if/ifLibBox.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifLibLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c -o src/map/if/ifLibLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c -o src/map/if/ifMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8255,8 +8291,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMatch2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c -o src/map/if/ifMatch2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifReduce.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c -o src/map/if/ifReduce.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifSat.c @@ -8267,10 +8303,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifSeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c -o src/map/if/ifSeq.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTest.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c -o src/map/if/ifTest.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c -o src/map/if/ifTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8280,7 +8316,6 @@ -> ABC: `` Compiling: /src/map/if/ifTune.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c -o src/map/if/ifTune.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -o yosys -rdynamic kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/tclapi.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/cmds/wrapcell.o passes/cmds/setenv.o passes/cmds/abstract.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/opt/peepopt.o passes/pmgen/test_pmgen.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_dsp.o techlibs/ice40/ice40_wrapcarry.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/microchip/microchip_dsp.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_ioff.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/xilinx/xilinx_dsp.o techlibs/xilinx/xilinx_srl.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Compiling: /src/map/if/ifUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c -o src/map/if/ifUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8295,19 +8330,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapLiberty.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c -o src/map/amap/amapLiberty.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c -o src/map/amap/amapMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapMatch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c -o src/map/amap/amapMatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapMerge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c -o src/map/amap/amapMerge.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapOutput.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c -o src/map/amap/amapOutput.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapParse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c -o src/map/amap/amapParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8326,9 +8361,9 @@ -> ABC: `` Compiling: /src/map/cov/covBuild.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c -o src/map/cov/covBuild.o -> ABC: `` Compiling: /src/map/cov/covCore.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c -o src/map/cov/covCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c -o src/map/cov/covMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8343,8 +8378,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c -o src/map/cov/covMinUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/scl.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c -o src/map/scl/scl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclBuffer.c @@ -8352,46 +8387,34 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclBufSize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c -o src/map/scl/sclBufSize.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclDnsize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c -o src/map/scl/sclDnsize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLiberty.c --> ABC: `` Compiling: /src/map/scl/sclLibScl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c -o src/map/scl/sclLiberty.o +-> ABC: `` Compiling: /src/map/scl/sclLibScl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c -o src/map/scl/sclLibScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLibUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c -o src/map/scl/sclLibUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLoad.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c -o src/map/scl/sclLoad.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclSize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c -o src/map/scl/sclSize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclUpsize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c -o src/map/scl/sclUpsize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/map/mpm/mpmAbc.c -> ABC: `` Compiling: /src/map/scl/sclUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c -o src/map/scl/sclUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/map/mpm/mpmAbc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c -o src/map/mpm/mpmAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type 'union yyalloc' violates the C++ One Definition Rule [-Wodr] - 492 | union yyalloc - | ^ -frontends/verilog/verilog_parser.tab.cc:1164:7: note: a different type is defined in another translation unit - 1164 | union yyalloc - | ^ -frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field 'yyss_alloc' - 494 | yy_state_t yyss_alloc; - | ^ -frontends/verilog/verilog_parser.tab.cc:1166:14: note: a field of same name but different type is defined in another translation unit - 1166 | yy_state_t yyss_alloc; - | ^ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c -o src/map/mpm/mpmCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8409,8 +8432,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c -o src/map/mpm/mpmMap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMig.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c -o src/map/mpm/mpmMig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmPre.c @@ -8427,34 +8450,43 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c -o src/misc/extra/extraUtilCanon.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilCfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c -o src/misc/extra/extraUtilCfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilCube.c --> ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c -o src/misc/extra/extraUtilCube.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -o src/misc/extra/extraUtilDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -o src/misc/extra/extraUtilDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -o src/misc/extra/extraUtilEnum.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilFile.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c -o src/misc/extra/extraUtilFile.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilGen.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c -> ABC: `` Compiling: /src/misc/extra/extraUtilMemory.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c -o src/misc/extra/extraUtilMemory.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -o src/misc/extra/extraUtilMisc.o +-> ABC: `` Compiling: /src/misc/extra/extraUtilMult.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c -o src/misc/extra/extraUtilMult.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilPath.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c -o src/misc/extra/extraUtilPath.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/misc/extra/extraUtilFile.c: In function 'abc::Extra_FileNameAppend(char*, char*)': src/misc/extra/extraUtilFile.c:157:25: warning: '%s' directive writing up to 499 bytes into a region of size between 1 and 500 [-Wformat-overflow=] 157 | sprintf( Buffer, "%s%s", pBase, pSuffix ); @@ -8471,25 +8503,16 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -o src/misc/extra/extraUtilMisc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/extra/extraUtilMult.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c -o src/misc/extra/extraUtilMult.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/misc/extra/extraUtilMemory.c: In function 'abc::Extra_MmStepStart(int)': src/misc/extra/extraUtilMemory.c:508:32: warning: 'MEM[(struct Extra_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] 508 | p->pMap[k] = p->pMems[0]; | ~~~~~~~~~~^ --> ABC: `` Compiling: /src/misc/extra/extraUtilPath.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c -o src/misc/extra/extraUtilPath.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilPerm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c -o src/misc/extra/extraUtilPerm.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilProgress.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c -o src/misc/extra/extraUtilProgress.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilReader.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c -o src/misc/extra/extraUtilReader.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8499,12 +8522,12 @@ -> ABC: `` Compiling: /src/misc/extra/extraUtilTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c -o src/misc/extra/extraUtilTruth.o -> ABC: `` Compiling: /src/misc/extra/extraUtilUtil.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c -o src/misc/extra/extraUtilUtil.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCompare.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c -o src/misc/mvc/mvcCompare.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8512,59 +8535,59 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c -o src/misc/mvc/mvcContain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCover.c --> ABC: `` Compiling: /src/misc/mvc/mvcCube.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c -o src/misc/mvc/mvcCover.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c -o src/misc/mvc/mvcCube.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/mvc/mvcCube.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c -o src/misc/mvc/mvcCube.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcDivide.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c -o src/misc/mvc/mvcDivide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/mvc/mvcDivisor.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c -o src/misc/mvc/mvcDivisor.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcList.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c -o src/misc/mvc/mvcList.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/mvc/mvcDivisor.c -> ABC: `` Compiling: /src/misc/mvc/mvcLits.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c -o src/misc/mvc/mvcLits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/mvc/mvcOpAlg.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c -o src/misc/mvc/mvcDivisor.o -> ABC: `` Compiling: /src/misc/mvc/mvcMan.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c -o src/misc/mvc/mvcOpAlg.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c -o src/misc/mvc/mvcMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/mvc/mvcOpAlg.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c -o src/misc/mvc/mvcOpAlg.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcOpBool.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c -o src/misc/mvc/mvcOpBool.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcPrint.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c -o src/misc/mvc/mvcPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c -o src/misc/mvc/mvcPrint.o +-> ABC: `` Compiling: /src/misc/mvc/mvcUtils.c -> ABC: `` Compiling: /src/misc/mvc/mvcSort.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c -o src/misc/mvc/mvcSort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/mvc/mvcUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c -o src/misc/mvc/mvcUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/st/st.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c -o src/misc/st/st.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/st/stmm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c -o src/misc/st/stmm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilBridge.c --> ABC: `` Compiling: /src/misc/util/utilBSet.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c -o src/misc/util/utilBridge.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBSet.c -o src/misc/util/utilBSet.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/util/utilBSet.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBSet.c -o src/misc/util/utilBSet.o -> ABC: `` Compiling: /src/misc/util/utilCex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c -o src/misc/util/utilCex.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilColor.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c -o src/misc/util/utilColor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilFile.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c -o src/misc/util/utilFile.o -> ABC: `` Compiling: /src/misc/util/utilIsop.c @@ -8594,10 +8617,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timDump.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c -o src/misc/tim/timDump.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c -o src/misc/tim/timMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c -o src/misc/tim/timTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8607,24 +8630,6 @@ -> ABC: `` Compiling: /src/misc/mem/mem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c -o src/misc/mem/mem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', - inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2568:26: -src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 2556 | printf( "%d", (int)((*pT >> i) & 1) ); - | ^~~ -src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': -src/misc/extra/extraUtilMisc.c:2560:12: note: object 'j' of size 4 - 2560 | int i, j, k, n = 4; - | ^ -In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', - inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2567:26: -src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 2556 | printf( "%d", (int)((*pT >> i) & 1) ); - | ^~~ -src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': -src/misc/extra/extraUtilMisc.c:2560:9: note: object 'i' of size 4 - 2560 | int i, j, k, n = 4; - | ^ -> ABC: `` Compiling: /src/misc/bar/bar.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -o src/misc/bar/bar.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8635,24 +8640,24 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c -o src/misc/parse/parseEqn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/parse/parseStack.c --> ABC: `` Compiling: /src/opt/cut/cutApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c -o src/misc/parse/parseStack.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c -o src/opt/cut/cutApi.o +-> ABC: `` Compiling: /src/opt/cut/cutApi.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c -o src/opt/cut/cutApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c -o src/opt/cut/cutCut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c -o src/opt/cut/cutMan.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/cut/cutMerge.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c -o src/opt/cut/cutMerge.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/misc/mem/mem.c: In function 'abc::Mem_StepStart(int)': src/misc/mem/mem.c:506:32: warning: 'MEM[(struct Mem_Fixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] 506 | p->pMap[k] = p->pMems[0]; | ~~~~~~~~~~^ --> ABC: `` Compiling: /src/opt/cut/cutMerge.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c -o src/opt/cut/cutMan.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c -o src/opt/cut/cutMerge.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutNode.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c -o src/opt/cut/cutNode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8667,8 +8672,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c -o src/opt/cut/cutTruth.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxu.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c -o src/opt/fxu/fxu.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuCreate.c @@ -8677,27 +8682,19 @@ -> ABC: `` Compiling: /src/opt/fxu/fxuHeapD.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c -o src/opt/fxu/fxuHeapD.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] - 126 | enum yysymbol_kind_t -frontends/verilog/verilog_parser.tab.cc:431: note: an enum with different value name is defined in another translation unit - 431 | enum yysymbol_kind_t -frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit - 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ -frontends/verilog/verilog_parser.tab.cc:437: note: mismatching definition - 437 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ -> ABC: `` Compiling: /src/opt/fxu/fxuHeapS.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c -o src/opt/fxu/fxuHeapS.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuList.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c -o src/opt/fxu/fxuList.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuMatrix.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c -o src/opt/fxu/fxuMatrix.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuPair.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c -o src/opt/fxu/fxuPair.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuPrint.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c -o src/opt/fxu/fxuPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuReduce.c @@ -8708,13 +8705,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuSingle.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c -o src/opt/fxu/fxuSingle.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o -> ABC: `` Compiling: /src/opt/fxch/Fxch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c -o src/opt/fxch/Fxch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxch/FxchDiv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c -o src/opt/fxch/FxchDiv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8723,27 +8720,45 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxch/FxchSCHashTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c -o src/opt/fxch/FxchSCHashTable.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c -o src/opt/rwr/rwrDec.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrEva.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c -o src/opt/rwr/rwrEva.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', + inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2568:26: +src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 2556 | printf( "%d", (int)((*pT >> i) & 1) ); + | ^~~ +src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': +src/misc/extra/extraUtilMisc.c:2560:12: note: object 'j' of size 4 + 2560 | int i, j, k, n = 4; + | ^ +In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', + inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2567:26: +src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 2556 | printf( "%d", (int)((*pT >> i) & 1) ); + | ^~~ +src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': +src/misc/extra/extraUtilMisc.c:2560:9: note: object 'i' of size 4 + 2560 | int i, j, k, n = 4; + | ^ -> ABC: `` Compiling: /src/opt/rwr/rwrExp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c -o src/opt/rwr/rwrExp.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c -o src/opt/rwr/rwrLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c -o src/opt/rwr/rwrMan.o --> ABC: `` Compiling: /src/opt/rwr/rwrPrint.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c -o src/opt/rwr/rwrPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c -o src/opt/rwr/rwrUtil.o +-> ABC: `` Compiling: /src/opt/rwr/rwrPrint.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c -o src/opt/rwr/rwrPrint.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c -o src/opt/mfs/mfsCore.o @@ -8753,13 +8768,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c -o src/opt/mfs/mfsInter.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c -o src/opt/mfs/mfsMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsResub.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c -o src/opt/mfs/mfsResub.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c -o src/opt/mfs/mfsSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8773,68 +8788,68 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c -o src/opt/sim/simMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSeq.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c -o src/opt/sim/simSeq.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSupp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c -o src/opt/sim/simSupp.o -> ABC: `` Compiling: /src/opt/sim/simSwitch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c -o src/opt/sim/simSeq.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c -o src/opt/sim/simSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSym.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c -o src/opt/sim/simSym.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSymSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c -o src/opt/sim/simSymSat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSymSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c -o src/opt/sim/simSymSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSymStr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c -o src/opt/sim/simSymStr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/ret/retArea.c -> ABC: `` Compiling: /src/opt/sim/simUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c -o src/opt/sim/simUtils.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/ret/retArea.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c -o src/opt/ret/retArea.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c -o src/opt/ret/retCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c -o src/opt/ret/retCore.o -> ABC: `` Compiling: /src/opt/ret/retDelay.c -> ABC: `` Compiling: /src/opt/ret/retFlow.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c -o src/opt/ret/retDelay.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c -o src/opt/ret/retFlow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retIncrem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c -o src/opt/ret/retIncrem.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retInit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c -o src/opt/ret/retInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retLvalue.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c -o src/opt/ret/retLvalue.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fret/fretMain.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c -o src/opt/fret/fretMain.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c -o src/opt/ret/retLvalue.o -> ABC: `` Compiling: /src/opt/fret/fretFlow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c -o src/opt/fret/fretFlow.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fret/fretInit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c -o src/opt/fret/fretInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fret/fretTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c -o src/opt/fret/fretTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c -o src/opt/res/resCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resDivs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c -o src/opt/res/resDivs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resFilter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c -o src/opt/res/resFilter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8848,11 +8863,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c -o src/opt/res/resStrash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resWin.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c -o src/opt/res/resWin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkCore.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c -o src/opt/res/resWin.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c -o src/opt/lpk/lpkCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c -o src/opt/lpk/lpkAbcDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8861,18 +8876,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c -o src/opt/lpk/lpkAbcDsd.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcUtil.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c -o src/opt/lpk/lpkAbcUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkCut.c --> ABC: `` Compiling: /src/opt/lpk/lpkMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c -o src/opt/lpk/lpkCut.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c -o src/opt/lpk/lpkMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/lpk/lpkMan.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c -o src/opt/lpk/lpkMan.o -> ABC: `` Compiling: /src/opt/lpk/lpkMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c -o src/opt/lpk/lpkMap.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkMulti.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c -o src/opt/lpk/lpkMulti.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8882,12 +8898,11 @@ -> ABC: `` Compiling: /src/opt/lpk/lpkSets.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c -o src/opt/lpk/lpkSets.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkAig.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c -o src/opt/nwk/nwkAig.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c -o src/opt/nwk/nwkCheck.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c -o src/opt/nwk/nwkAig.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkBidec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c -o src/opt/nwk/nwkBidec.o @@ -8903,13 +8918,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c -o src/opt/nwk/nwkMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c -o src/opt/nwk/nwkMap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMerge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c -o src/opt/nwk/nwkMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c -o src/opt/nwk/nwkObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8930,8 +8945,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwt/rwtMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c -o src/opt/rwt/rwtMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwt/rwtUtil.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c -o src/opt/rwt/rwtUtil.o -> ABC: `` Compiling: /src/opt/rar/rewire_rng.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rng.c -o src/opt/rar/rewire_rng.o @@ -8942,10 +8957,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rar/rewire_rar.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rar.c -o src/opt/rar/rewire_rar.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c -o src/opt/cgt/cgtAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -o src/opt/cgt/cgtCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8963,34 +8978,34 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c -o src/opt/csw/cswCut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c -o src/opt/csw/cswMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c -o src/opt/csw/cswTable.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darBalance.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c -o src/opt/dar/darBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c -o src/opt/dar/darCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c -o src/opt/dar/darCut.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darData.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c -o src/opt/dar/darData.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darLib.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c -o src/opt/dar/darLib.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c -o src/opt/dar/darMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darPrec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c -o src/opt/dar/darPrec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darRefact.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c -o src/opt/dar/darRefact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9004,18 +9019,16 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c -o src/opt/dau/dauCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauCount.c --> ABC: `` Compiling: /src/opt/dau/dauDivs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c -o src/opt/dau/dauCount.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c -o src/opt/dau/dauDivs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/dau/dauDivs.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c -o src/opt/dau/dauDivs.o -> ABC: `` Compiling: /src/opt/dau/dauDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c -o src/opt/dau/dauDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauEnum.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c -o src/opt/dau/dauEnum.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauGia.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c -o src/opt/dau/dauEnum.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c -o src/opt/dau/dauGia.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauMerge.c @@ -9028,38 +9041,40 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c -o src/opt/dau/dauNpn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauNpn2.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c -o src/opt/dau/dauNpn2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/dau/dauTree.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/dau/dauTree.c -> ABC: `` Compiling: /src/opt/dsc/dsc.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmArea.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmCnf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmCore.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o +-> ABC: `` Compiling: /src/opt/sfm/sfmDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -o src/opt/sfm/sfmCore.o +-> ABC: `` Compiling: /src/opt/sfm/sfmLib.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/sfm/sfmDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c -o src/opt/sfm/sfmDec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/sfm/sfmLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c -o src/opt/sfm/sfmLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmNtk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c -o src/opt/sfm/sfmNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c -o src/opt/sfm/sfmSat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmTim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c -o src/opt/sfm/sfmTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmMit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c -o src/opt/sfm/sfmMit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9071,76 +9086,56 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c -o src/opt/sbd/sbdCnf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c -o src/opt/sbd/sbdCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c -o src/opt/sbd/sbdCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCut2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c -o src/opt/sbd/sbdCut2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/opt/dau/dauTree.c: In function 'abc::Dss_ManBooleanAnd(abc::Dss_Man_t_*, abc::Dss_Ent_t_*, int)': -src/opt/dau/dauTree.c:1492:22: warning: array subscript i_38 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] - 1492 | pFun->pFans[i] = (unsigned char)Abc_Lit2LitV( pMapDsd2Truth, pPermDsd[i] ); - | ~~~~~~~~~~~~~^ -src/opt/dau/dauTree.c:36:20: note: while referencing 'pFans' - 36 | unsigned char pFans[0]; // fanins - | ^~~~~ -src/opt/dau/dauTree.c: In function 'abc::Dss_ManSharedMap(abc::Dss_Man_t_*, int*, int*, int**, unsigned int)': -src/opt/dau/dauTree.c:1528:48: warning: array subscript _22 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] - 1528 | pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i; - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ -src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' - 48 | unsigned char pShared[0]; // shared literals - | ^~~~~~~ -src/opt/dau/dauTree.c:1529:48: warning: array subscript _27 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] - 1529 | pEnt->pShared[2*pEnt->nShared+1] = (unsigned char)Abc_LitNotCond( pMapGtoL[g], Abc_LitIsCompl(pFans[1][i]) ); - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ -src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' - 48 | unsigned char pShared[0]; // shared literals - | ^~~~~~~ -> ABC: `` Compiling: /src/opt/sbd/sbdLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c -o src/opt/sbd/sbdLut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdPath.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c -o src/opt/sbd/sbdPath.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c -o src/opt/sbd/sbdSat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdWin.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -o src/opt/sbd/sbdWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -o src/opt/sbd/sbdWin.o -> ABC: `` Compiling: /src/sat/bsat/satMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c -o src/sat/bsat/satMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c -o src/sat/bsat/satInter.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterA.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c -o src/sat/bsat/satInterA.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterB.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c -o src/sat/bsat/satInterB.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/bsat/satInterP.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -o src/sat/bsat/satInterP.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/bsat/satMem.c: In function 'abc::Sat_MmStepStart(int)': src/sat/bsat/satMem.c:449:32: warning: 'MEM[(struct Sat_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] 449 | p->pMap[k] = p->pMems[0]; | ~~~~~~~~~~^ --> ABC: `` Compiling: /src/sat/bsat/satInterP.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -o src/sat/bsat/satInterP.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satProof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c -o src/sat/bsat/satProof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c -o src/sat/bsat/satSolver.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c -o src/sat/bsat/satSolver2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver2i.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c -o src/sat/bsat/satSolver2i.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9155,10 +9150,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c -o src/sat/bsat/satTruth.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c -o src/sat/bsat/satUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatSolver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c -o src/sat/xsat/xsatSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9186,12 +9181,12 @@ -> ABC: `` Compiling: /src/sat/msat/msatClause.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c -o src/sat/msat/msatClause.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/msat/msatMem.c -> ABC: `` Compiling: /src/sat/msat/msatClauseVec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c -o src/sat/msat/msatClauseVec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/msat/msatMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c -o src/sat/msat/msatMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatOrderH.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c -o src/sat/msat/msatOrderH.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9200,74 +9195,94 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c -o src/sat/msat/msatRead.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverApi.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c -o src/sat/msat/msatSolverApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c -o src/sat/msat/msatSolverApi.o -> ABC: `` Compiling: /src/sat/msat/msatSolverCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c -o src/sat/msat/msatSolverCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverIo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c -o src/sat/msat/msatSolverIo.o -src/sat/msat/msatMem.c: In function 'abc::Msat_MmStepStart(int)': -src/sat/msat/msatMem.c:438:32: warning: 'MEM[(struct Msat_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] - 438 | p->pMap[k] = p->pMems[0]; - | ~~~~~~~~~~^ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverSearch.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c -o src/sat/msat/msatSolverSearch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSort.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c -o src/sat/msat/msatSolverSearch.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c -o src/sat/msat/msatSort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatVec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c -o src/sat/msat/msatVec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c -o src/sat/cnf/cnfCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c -o src/sat/cnf/cnfCore.o -> ABC: `` Compiling: /src/sat/cnf/cnfCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c -o src/sat/cnf/cnfCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/cnf/cnfData.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c -o src/sat/cnf/cnfData.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfFast.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c -o src/sat/cnf/cnfFast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c -o src/sat/cnf/cnfFast.o +-> ABC: `` Compiling: /src/sat/cnf/cnfData.c -> ABC: `` Compiling: /src/sat/cnf/cnfMan.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -o src/sat/cnf/cnfMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c -o src/sat/cnf/cnfData.o -> ABC: `` Compiling: /src/sat/cnf/cnfMap.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -o src/sat/cnf/cnfMan.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c -o src/sat/cnf/cnfMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/cnf/cnfPost.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c -o src/sat/cnf/cnfPost.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c -o src/sat/cnf/cnfUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfWrite.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o +src/sat/msat/msatMem.c: In function 'abc::Msat_MmStepStart(int)': +src/sat/msat/msatMem.c:438:32: warning: 'MEM[(struct Msat_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] + 438 | p->pMap[k] = p->pMems[0]; + | ~~~~~~~~~~^ +-> ABC: `` Compiling: /src/sat/cnf/cnfPost.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c -o src/sat/cnf/cnfPost.o +src/opt/dau/dauTree.c: In function 'abc::Dss_ManBooleanAnd(abc::Dss_Man_t_*, abc::Dss_Ent_t_*, int)': -> ABC: `` Compiling: /src/sat/bmc/bmcBmc.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/opt/dau/dauTree.c:1492:22: warning: array subscript i_38 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] + 1492 | pFun->pFans[i] = (unsigned char)Abc_Lit2LitV( pMapDsd2Truth, pPermDsd[i] ); + | ~~~~~~~~~~~~~^ +src/opt/dau/dauTree.c:36:20: note: while referencing 'pFans' + 36 | unsigned char pFans[0]; // fanins + | ^~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c -o src/sat/bmc/bmcBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c -o src/sat/bmc/bmcBmc2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc3.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c -o src/sat/bmc/bmcBmc3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmcAnd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c -o src/sat/bmc/bmcBmcAnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmci.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c -o src/sat/bmc/bmcBmci.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/opt/dau/dauTree.c: In function 'abc::Dss_ManSharedMap(abc::Dss_Man_t_*, int*, int*, int**, unsigned int)': +src/opt/dau/dauTree.c:1528:48: warning: array subscript _22 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] + 1528 | pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i; + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ +src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' + 48 | unsigned char pShared[0]; // shared literals + | ^~~~~~~ +src/opt/dau/dauTree.c:1529:48: warning: array subscript _27 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] + 1529 | pEnt->pShared[2*pEnt->nShared+1] = (unsigned char)Abc_LitNotCond( pMapGtoL[g], Abc_LitIsCompl(pFans[1][i]) ); + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ +src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' + 48 | unsigned char pShared[0]; // shared literals + | ^~~~~~~ -> ABC: `` Compiling: /src/sat/bmc/bmcBmcG.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c -o src/sat/bmc/bmcBmcG.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9279,16 +9294,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c -o src/sat/bmc/bmcCexCut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexDepth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c -o src/sat/bmc/bmcCexDepth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexMin1.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c -o src/sat/bmc/bmcCexMin1.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexMin2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c -o src/sat/bmc/bmcCexMin2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexTools.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c -o src/sat/bmc/bmcCexTools.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9330,16 +9345,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaj3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c -o src/sat/bmc/bmcMaj3.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaxi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c -o src/sat/bmc/bmcMaxi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMesh.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c -o src/sat/bmc/bmcMesh.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMesh2.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c -o src/sat/bmc/bmcMesh2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMulti.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c -o src/sat/bmc/bmcMulti.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9349,24 +9364,6 @@ -> ABC: `` Compiling: /src/sat/kissat/kissatSolver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatSolver.c -o src/sat/kissat/kissatSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, - from /build/reproducible-path/yosys-0.51/abc/src/aig/aig/aig.h:34, - from /build/reproducible-path/yosys-0.51/abc/src/aig/saig/saig.h:29, - from src/sat/bmc/bmc.h:29, - from src/sat/bmc/bmcICheck.c:21: -In function 'abc::Vec_IntAlloc(int)', - inlined from 'abc::Vec_IntStartNatural(int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vecInt.h:190:21, - inlined from 'abc::Bmc_PerformISearchOne(abc::Gia_Man_t_*, int, int, int, int, int, abc::Vec_Int_t_*)' at src/sat/bmc/bmcICheck.c:433:32: -/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:264:50: warning: argument 1 range [18446744065119617024, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] - 264 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from /usr/include/c++/14/cstdlib:79, - from /usr/include/c++/14/stdlib.h:36, - from /build/reproducible-path/yosys-0.51/abc/src/aig/aig/aig.h:30: -/usr/include/stdlib.h: In function 'abc::Bmc_PerformISearchOne(abc::Gia_Man_t_*, int, int, int, int, int, abc::Vec_Int_t_*)': -/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here - 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ - | ^~~~~~ -> ABC: `` Compiling: /src/sat/kissat/kissatTest.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatTest.c -o src/sat/kissat/kissatTest.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9379,11 +9376,30 @@ -> ABC: `` Compiling: /src/sat/kissat/ands.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ands.c -o src/sat/kissat/ands.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/arena.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c -o src/sat/kissat/arena.o +-> ABC: `` Compiling: /src/sat/kissat/assign.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/assign.c -o src/sat/kissat/assign.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/allocate.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/ands.c:4: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ @@ -9405,6 +9421,125 @@ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:6: +src/sat/kissat/assign.h:15:25: note: declared here + 15 | typedef struct assigned assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] + 118 | flags *flags; + | ^~~~~ +src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' + 118 | flags *flags; + | ^~~~~ +In file included from src/sat/kissat/internal.h:13: +src/sat/kissat/flags.h:9:22: note: declared here + 9 | typedef struct flags flags; + | ^~~~~ +src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] + 123 | phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' + 123 | phases phases; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:22: +src/sat/kissat/phases.h:9:23: note: declared here + 9 | typedef struct phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:69:23: note: declared here + 69 | typedef STACK (value) eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] + 128 | links *links; + | ^~~~~ +src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' + 128 | links *links; + | ^~~~~ +In file included from src/sat/kissat/internal.h:25: +src/sat/kissat/queue.h:12:22: note: declared here + 12 | typedef struct links links; + | ^~~~~ +src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] + 129 | queue queue; + | ^~~~~ +src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' + 129 | queue queue; + | ^~~~~ +src/sat/kissat/queue.h:13:22: note: declared here + 13 | typedef struct queue queue; + | ^~~~~ +src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] + 138 | frames frames; + | ^~~~~~ +src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' + 138 | frames frames; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:15: +src/sat/kissat/frames.h:27:23: note: declared here + 27 | typedef STACK (frame) frames; + | ^~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] + 172 | unsigneds clause; + | ^~~~~~ +src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' + 166 | clause conflict; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:10: +src/sat/kissat/clause.h:14:23: note: declared here + 14 | typedef struct clause clause; + | ^~~~~~ +src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] + 175 | arena arena; + | ^~~~~ +src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' + 175 | arena arena; + | ^~~~~ +In file included from src/sat/kissat/internal.h:4: +src/sat/kissat/arena.h:25:22: note: declared here + 25 | typedef STACK (ward) arena; + | ^~~~~ +src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] + 176 | vectors vectors; + | ^~~~~~~ +src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' + 176 | vectors vectors; + | ^~~~~~~ +In file included from src/sat/kissat/internal.h:33: +src/sat/kissat/vector.h:25:24: note: declared here + 25 | typedef struct vectors vectors; + | ^~~~~~~ +src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] + 179 | watches *watches; + | ^~~~~~~ +src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' + 179 | watches *watches; + | ^~~~~~~ +src/sat/kissat/watch.h:49:16: note: declared here + 49 | typedef vector watches; + | ^~~~~~~ +src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] + 186 | averages averages[2]; + | ^~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; @@ -9460,6 +9595,7 @@ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ +-> ABC: `` Compiling: /src/sat/kissat/averages.c src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ @@ -9467,6 +9603,7 @@ src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/averages.c -o src/sat/kissat/averages.o src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ @@ -9503,25 +9640,108 @@ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ +src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' + 186 | averages averages[2]; + | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:7: +src/sat/kissat/averages.h:11:25: note: declared here + 11 | typedef struct averages averages; + | ^~~~~~~~ +src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] + 188 | reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' + 188 | reluctant reluctant; + | ^~~~~~~~~ +In file included from src/sat/kissat/internal.h:27: +src/sat/kissat/reluctant.h:10:26: note: declared here + 10 | typedef struct reluctant reluctant; + | ^~~~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ +src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] + 190 | bounds bounds; + | ^~~~~~ +src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' + 190 | bounds bounds; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:17: +src/sat/kissat/kimits.h:10:23: note: declared here + 10 | typedef struct bounds bounds; + | ^~~~~~ +src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] + 191 | classification classification; + | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' + 191 | classification classification; + | ^~~~~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:9: +src/sat/kissat/classify.h:16:31: note: declared here + 16 | typedef struct classification classification; + | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ +src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/kimits.h:12:23: note: declared here + 12 | typedef struct delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] + 193 | enabled enabled; + | ^~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ +src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/kimits.h:15:24: note: declared here + 15 | typedef struct enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/kimits.h:16:24: note: declared here + 16 | typedef struct limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] + 195 | limits limits; + | ^~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ +src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/kimits.h:17:23: note: declared here + 17 | typedef struct limits limits; + | ^~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; @@ -9592,10 +9812,14 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/backbone.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backbone.c -o src/sat/kissat/backbone.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/ands.c:4: + from src/sat/kissat/analyze.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -9608,6 +9832,17 @@ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/arena.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ @@ -9692,6 +9927,9 @@ src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ @@ -9715,12 +9953,31 @@ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:6: +src/sat/kissat/assign.h:15:25: note: declared here + 15 | typedef struct assigned assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ +src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] + 118 | flags *flags; + | ^~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ @@ -9731,6 +9988,64 @@ src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ +src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' + 118 | flags *flags; + | ^~~~~ +In file included from src/sat/kissat/internal.h:13: +src/sat/kissat/flags.h:9:22: note: declared here + 9 | typedef struct flags flags; + | ^~~~~ +src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] + 123 | phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' + 123 | phases phases; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:22: +src/sat/kissat/phases.h:9:23: note: declared here + 9 | typedef struct phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:69:23: note: declared here + 69 | typedef STACK (value) eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] + 128 | links *links; + | ^~~~~ +src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' + 128 | links *links; + | ^~~~~ +In file included from src/sat/kissat/internal.h:25: +src/sat/kissat/queue.h:12:22: note: declared here + 12 | typedef struct links links; + | ^~~~~ +src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] + 129 | queue queue; + | ^~~~~ +src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' + 129 | queue queue; + | ^~~~~ +src/sat/kissat/queue.h:13:22: note: declared here + 13 | typedef struct queue queue; + | ^~~~~ +src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] + 138 | frames frames; + | ^~~~~~ +src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' + 138 | frames frames; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:15: +src/sat/kissat/frames.h:27:23: note: declared here + 27 | typedef STACK (frame) frames; + | ^~~~~~ +src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] + 172 | unsigneds clause; + | ^~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ @@ -9807,10 +10122,23 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' + 166 | clause conflict; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:10: +src/sat/kissat/clause.h:14:23: note: declared here + 14 | typedef struct clause clause; + | ^~~~~~ +src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] + 175 | arena arena; + | ^~~~~ +src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' + 175 | arena arena; + | ^~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/analyze.c:5: + from src/sat/kissat/assign.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -9820,6 +10148,62 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +In file included from src/sat/kissat/internal.h:4: +src/sat/kissat/arena.h:25:22: note: declared here + 25 | typedef STACK (ward) arena; + | ^~~~~ +src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] + 176 | vectors vectors; + | ^~~~~~~ +src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' + 176 | vectors vectors; + | ^~~~~~~ +In file included from src/sat/kissat/internal.h:33: +src/sat/kissat/vector.h:25:24: note: declared here + 25 | typedef struct vectors vectors; + | ^~~~~~~ +src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] + 179 | watches *watches; + | ^~~~~~~ +src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' + 179 | watches *watches; + | ^~~~~~~ +src/sat/kissat/watch.h:49:16: note: declared here + 49 | typedef vector watches; + | ^~~~~~~ +src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] + 186 | averages averages[2]; + | ^~~~~~~~ +src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' + 186 | averages averages[2]; + | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:7: +src/sat/kissat/averages.h:11:25: note: declared here + 11 | typedef struct averages averages; + | ^~~~~~~~ +src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] + 188 | reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' + 188 | reluctant reluctant; + | ^~~~~~~~~ +In file included from src/sat/kissat/internal.h:27: +src/sat/kissat/reluctant.h:10:26: note: declared here + 10 | typedef struct reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] + 190 | bounds bounds; + | ^~~~~~ +src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' + 190 | bounds bounds; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:17: +src/sat/kissat/kimits.h:10:23: note: declared here + 10 | typedef struct bounds bounds; + | ^~~~~~ +src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] + 191 | classification classification; + | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -9835,7 +10219,7 @@ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:6: +In file included from src/sat/kissat/assign.c:1: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ @@ -9900,6 +10284,59 @@ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ +src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' + 191 | classification classification; + | ^~~~~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:9: +src/sat/kissat/classify.h:16:31: note: declared here + 16 | typedef struct classification classification; + | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/kimits.h:12:23: note: declared here + 12 | typedef struct delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/kimits.h:15:24: note: declared here + 15 | typedef struct enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/kimits.h:16:24: note: declared here + 16 | typedef struct limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/kimits.h:17:23: note: declared here + 17 | typedef struct limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ @@ -10022,31 +10459,11 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/arena.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c -o src/sat/kissat/arena.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/assign.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/assign.c -o src/sat/kissat/assign.o --> ABC: `` Compiling: /src/sat/kissat/averages.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/averages.c -o src/sat/kissat/averages.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/backbone.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backbone.c -o src/sat/kissat/backbone.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/backtrack.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c -o src/sat/kissat/backtrack.o --> ABC: `` Compiling: /src/sat/kissat/build.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/build.c -o src/sat/kissat/build.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/bump.c In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/arena.c:2: + from src/sat/kissat/averages.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c -o src/sat/kissat/bump.o src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ @@ -10255,12 +10672,8 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/check.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c -o src/sat/kissat/check.o --> ABC: `` Compiling: /src/sat/kissat/classify.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/classify.c -o src/sat/kissat/classify.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/backtrack.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c -o src/sat/kissat/backtrack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, @@ -10275,8 +10688,10 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/clause.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/clause.c -o src/sat/kissat/clause.o +-> ABC: `` Compiling: /src/sat/kissat/bump.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c -o src/sat/kissat/bump.o +-> ABC: `` Compiling: /src/sat/kissat/build.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/build.c -o src/sat/kissat/build.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -10480,24 +10895,41 @@ 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/check.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c -o src/sat/kissat/check.o +-> ABC: `` Compiling: /src/sat/kissat/classify.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/classify.c -o src/sat/kissat/classify.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/clause.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/clause.c -o src/sat/kissat/clause.o +-> ABC: `` Compiling: /src/sat/kissat/collect.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/collect.c -o src/sat/kissat/collect.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, + from /build/reproducible-path/yosys-0.51/abc/src/aig/aig/aig.h:34, + from /build/reproducible-path/yosys-0.51/abc/src/aig/saig/saig.h:29, + from src/sat/bmc/bmc.h:29, + from src/sat/bmc/bmcICheck.c:21: +In function 'abc::Vec_IntAlloc(int)', + inlined from 'abc::Vec_IntStartNatural(int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vecInt.h:190:21, + inlined from 'abc::Bmc_PerformISearchOne(abc::Gia_Man_t_*, int, int, int, int, int, abc::Vec_Int_t_*)' at src/sat/bmc/bmcICheck.c:433:32: +-> ABC: `` Compiling: /src/sat/kissat/colors.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c -o src/sat/kissat/colors.o +/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:264:50: warning: argument 1 range [18446744065119617024, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] + 264 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from /usr/include/c++/14/cstdlib:79, + from /usr/include/c++/14/stdlib.h:36, + from /build/reproducible-path/yosys-0.51/abc/src/aig/aig/aig.h:30: +/usr/include/stdlib.h: In function 'abc::Bmc_PerformISearchOne(abc::Gia_Man_t_*, int, int, int, int, int, abc::Vec_Int_t_*)': +/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here + 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ + | ^~~~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/assign.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/averages.c:1: + from src/sat/kissat/classify.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -10594,28 +11026,12 @@ src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ -In file included from src/sat/kissat/assign.c:1: -src/sat/kissat/assign.h:15:25: note: declared here - 15 | typedef struct assigned assigned; - | ^~~~~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -10633,76 +11049,25 @@ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ -src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] - 118 | flags *flags; - | ^~~~~ -src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' - 118 | flags *flags; - | ^~~~~ -In file included from src/sat/kissat/internal.h:13: -src/sat/kissat/flags.h:9:22: note: declared here - 9 | typedef struct flags flags; - | ^~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ -src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] - 123 | phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' - 123 | phases phases; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:22: -src/sat/kissat/phases.h:9:23: note: declared here - 9 | typedef struct phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:69:23: note: declared here - 69 | typedef STACK (value) eliminated; - | ^~~~~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ -src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] - 128 | links *links; - | ^~~~~ -src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' - 128 | links *links; - | ^~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:25: -src/sat/kissat/queue.h:12:22: note: declared here - 12 | typedef struct links links; - | ^~~~~ -src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] - 129 | queue queue; - | ^~~~~ -src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' - 129 | queue queue; - | ^~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ -src/sat/kissat/queue.h:13:22: note: declared here - 13 | typedef struct queue queue; - | ^~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ -src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] - 138 | frames frames; - | ^~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ @@ -10710,19 +11075,12 @@ src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ -src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' - 138 | frames frames; - | ^~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ -In file included from src/sat/kissat/internal.h:15: -src/sat/kissat/frames.h:27:23: note: declared here - 27 | typedef STACK (frame) frames; - | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; @@ -10730,29 +11088,16 @@ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] - 172 | unsigneds clause; - | ^~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' - 166 | clause conflict; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:9: +In file included from src/sat/kissat/classify.c:1: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ -In file included from src/sat/kissat/internal.h:10: -src/sat/kissat/clause.h:14:23: note: declared here - 14 | typedef struct clause clause; - | ^~~~~~ -src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] - 175 | arena arena; - | ^~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ @@ -10796,127 +11141,14 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' - 175 | arena arena; - | ^~~~~ -In file included from src/sat/kissat/internal.h:4: -src/sat/kissat/arena.h:25:22: note: declared here - 25 | typedef STACK (ward) arena; - | ^~~~~ -src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] - 176 | vectors vectors; - | ^~~~~~~ -src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' - 176 | vectors vectors; - | ^~~~~~~ -In file included from src/sat/kissat/internal.h:33: -src/sat/kissat/vector.h:25:24: note: declared here - 25 | typedef struct vectors vectors; - | ^~~~~~~ -src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/watch.h:49:16: note: declared here - 49 | typedef vector watches; - | ^~~~~~~ -src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] - 186 | averages averages[2]; - | ^~~~~~~~ -src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' - 186 | averages averages[2]; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:7: -src/sat/kissat/averages.h:11:25: note: declared here - 11 | typedef struct averages averages; - | ^~~~~~~~ -src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] - 188 | reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' - 188 | reluctant reluctant; - | ^~~~~~~~~ -In file included from src/sat/kissat/internal.h:27: -src/sat/kissat/reluctant.h:10:26: note: declared here - 10 | typedef struct reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] - 190 | bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' - 190 | bounds bounds; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:17: -src/sat/kissat/kimits.h:10:23: note: declared here - 10 | typedef struct bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] - 191 | classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' - 191 | classification classification; - | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:9: -src/sat/kissat/classify.h:16:31: note: declared here - 16 | typedef struct classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/kimits.h:12:23: note: declared here - 12 | typedef struct delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/kimits.h:15:24: note: declared here - 15 | typedef struct enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/kimits.h:16:24: note: declared here - 16 | typedef struct limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/kimits.h:17:23: note: declared here - 17 | typedef struct limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/backtrack.c:3: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlineheap.h:5, + from src/sat/kissat/bump.c:3: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; @@ -10924,11 +11156,9 @@ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ --> ABC: `` Compiling: /src/sat/kissat/collect.c src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/collect.c -o src/sat/kissat/collect.o src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ @@ -10962,6 +11192,7 @@ src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ @@ -11125,11 +11356,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/colors.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c -o src/sat/kissat/colors.o +-> ABC: `` Compiling: /src/sat/kissat/compact.c In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/classify.c:2: + from src/sat/kissat/collect.h:4, + from src/sat/kissat/clause.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -11139,6 +11369,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c -o src/sat/kissat/compact.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -11206,6 +11437,12 @@ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/collect.h:4, + from src/sat/kissat/collect.c:3: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ @@ -11216,13 +11453,18 @@ src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; @@ -11237,7 +11479,6 @@ src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/compact.c src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ @@ -11293,7 +11534,7 @@ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/classify.c:1: +In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ @@ -11318,7 +11559,6 @@ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c -o src/sat/kissat/compact.o src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ @@ -11344,18 +11584,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/clause.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -11558,13 +11786,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/config.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/config.c -o src/sat/kissat/config.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlineheap.h:5, - from src/sat/kissat/bump.c:3: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/backtrack.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -11574,6 +11799,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -11628,6 +11854,8 @@ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/config.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/config.c -o src/sat/kissat/config.o In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; @@ -11730,7 +11958,6 @@ src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/sat/kissat/congruence.c src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ @@ -11755,7 +11982,6 @@ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c -o src/sat/kissat/congruence.o src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ @@ -11779,9 +12005,16 @@ 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/collect.c:3: +-> ABC: `` Compiling: /src/sat/kissat/congruence.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c -o src/sat/kissat/congruence.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/decide.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c -o src/sat/kissat/decide.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/deduce.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/deduce.c -o src/sat/kissat/deduce.o +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/congruence.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -11791,6 +12024,10 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/congruence.c:4: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -11894,10 +12131,23 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/internal.h:33: +In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/compact.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ @@ -11953,79 +12203,9 @@ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ -src/sat/kissat/kimits.h:12:23: note: declared here - 12 | typedef struct delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/kimits.h:15:24: note: declared here - 15 | typedef struct enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/kimits.h:16:24: note: declared here - 16 | typedef struct limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/kimits.h:17:23: note: declared here - 17 | typedef struct limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/decide.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c -o src/sat/kissat/decide.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/deduce.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/deduce.c -o src/sat/kissat/deduce.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/congruence.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/compact.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ @@ -12103,6 +12283,9 @@ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ +src/sat/kissat/kimits.h:12:23: note: declared here + 12 | typedef struct delays delays; + | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ @@ -12120,12 +12303,18 @@ src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ +src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] + 193 | enabled enabled; + | ^~~~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ +src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' + 193 | enabled enabled; + | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; @@ -12142,6 +12331,9 @@ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ +src/sat/kissat/kimits.h:15:24: note: declared here + 15 | typedef struct enabled enabled; + | ^~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ @@ -12152,6 +12344,9 @@ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] + 194 | limited limited; + | ^~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ @@ -12169,12 +12364,18 @@ src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ +src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' + 194 | limited limited; + | ^~~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/kimits.h:16:24: note: declared here + 16 | typedef struct limited limited; + | ^~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; @@ -12225,12 +12426,43 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/kimits.h:17:23: note: declared here + 17 | typedef struct limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/definition.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/definition.c -o src/sat/kissat/definition.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/congruence.c:4: +-> ABC: `` Compiling: /src/sat/kissat/dense.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c -o src/sat/kissat/dense.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlineframes.h:5, + from src/sat/kissat/decide.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -12256,7 +12488,6 @@ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/dense.c In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; @@ -12283,22 +12514,9 @@ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/deduce.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; @@ -12335,7 +12553,6 @@ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c -o src/sat/kissat/dense.o src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ @@ -12349,7 +12566,7 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/watch.h:7: +In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ @@ -12365,6 +12582,108 @@ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ +src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' + 186 | averages averages[2]; + | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:7: +src/sat/kissat/averages.h:11:25: note: declared here + 11 | typedef struct averages averages; + | ^~~~~~~~ +src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] + 188 | reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' + 188 | reluctant reluctant; + | ^~~~~~~~~ +In file included from src/sat/kissat/internal.h:27: +src/sat/kissat/reluctant.h:10:26: note: declared here + 10 | typedef struct reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] + 190 | bounds bounds; + | ^~~~~~ +src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' + 190 | bounds bounds; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:17: +src/sat/kissat/kimits.h:10:23: note: declared here + 10 | typedef struct bounds bounds; + | ^~~~~~ +src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] + 191 | classification classification; + | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' + 191 | classification classification; + | ^~~~~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:9: +src/sat/kissat/classify.h:16:31: note: declared here + 16 | typedef struct classification classification; + | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/kimits.h:12:23: note: declared here + 12 | typedef struct delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/kimits.h:15:24: note: declared here + 15 | typedef struct enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/kimits.h:16:24: note: declared here + 16 | typedef struct limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/kimits.h:17:23: note: declared here + 17 | typedef struct limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/dump.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c -o src/sat/kissat/dump.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/eliminate.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/eliminate.c -o src/sat/kissat/eliminate.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/definition.c:4: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -12374,9 +12693,6 @@ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ -src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' - 186 | averages averages[2]; - | ^~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ @@ -12445,10 +12761,6 @@ src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ -In file included from src/sat/kissat/internal.h:7: -src/sat/kissat/averages.h:11:25: note: declared here - 11 | typedef struct averages averages; - | ^~~~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ @@ -12488,9 +12800,6 @@ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ -src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] - 188 | reluctant reluctant; - | ^~~~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ @@ -12543,19 +12852,6 @@ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ -src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' - 188 | reluctant reluctant; - | ^~~~~~~~~ -In file included from src/sat/kissat/internal.h:27: -src/sat/kissat/reluctant.h:10:26: note: declared here - 10 | typedef struct reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] - 190 | bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' - 190 | bounds bounds; - | ^~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ @@ -12590,73 +12886,38 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/internal.h:17: -src/sat/kissat/kimits.h:10:23: note: declared here - 10 | typedef struct bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] - 191 | classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' - 191 | classification classification; - | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:9: -src/sat/kissat/classify.h:16:31: note: declared here - 16 | typedef struct classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/kimits.h:12:23: note: declared here - 12 | typedef struct delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/kimits.h:15:24: note: declared here - 15 | typedef struct enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' - 194 | limited limited; +src/sat/kissat/definition.c:15:12: warning: declaration of 'abc::watches* abc::definition_extractor::watches [2]' changes meaning of 'watches' [-Wchanges-meaning] + 15 | watches *watches[2]; + | ^~~~~~~ +src/sat/kissat/definition.c:15:3: note: used here to mean 'typedef abc::vector abc::watches' + 15 | watches *watches[2]; | ^~~~~~~ -src/sat/kissat/kimits.h:16:24: note: declared here - 16 | typedef struct limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/kimits.h:17:23: note: declared here - 17 | typedef struct limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ +src/sat/kissat/watch.h:49:16: note: declared here + 49 | typedef vector watches; + | ^~~~~~~ +-> ABC: `` Compiling: /src/sat/kissat/equivalences.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/equivalences.c -o src/sat/kissat/equivalences.o +-> ABC: `` Compiling: /src/sat/kissat/error.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/dump.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c -o src/sat/kissat/dump.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/error.c -o src/sat/kissat/error.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/extend.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/extend.c -o src/sat/kissat/extend.o +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/dense.c:3: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlineframes.h:5, - from src/sat/kissat/decide.c:2: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/deduce.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -12759,6 +13020,7 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -12868,19 +13130,23 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/dense.c:3: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/eliminate.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/eliminate.c -o src/sat/kissat/eliminate.o +-> ABC: `` Compiling: /src/sat/kissat/factor.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/factor.c -o src/sat/kissat/factor.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/fastel.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/fastel.c -o src/sat/kissat/fastel.o +-> ABC: `` Compiling: /src/sat/kissat/file.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c -o src/sat/kissat/file.o +-> ABC: `` Compiling: /src/sat/kissat/flags.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/flags.c -o src/sat/kissat/flags.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/forward.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/forward.c -o src/sat/kissat/forward.o +-> ABC: `` Compiling: /src/sat/kissat/format.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/format.c -o src/sat/kissat/format.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -13087,13 +13353,39 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/equivalences.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/equivalences.c -o src/sat/kissat/equivalences.o +-> ABC: `` Compiling: /src/sat/kissat/gates.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/gates.c -o src/sat/kissat/gates.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/heap.c +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/factor.c:4: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c -o src/sat/kissat/heap.o +-> ABC: `` Compiling: /src/sat/kissat/ifthenelse.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ifthenelse.c -o src/sat/kissat/ifthenelse.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/definition.c:4: + from src/sat/kissat/flags.c:1: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/fastel.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -13305,11 +13597,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/error.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/error.c -o src/sat/kissat/error.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/eliminate.c:4: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/extend.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -13319,6 +13610,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -13514,15 +13806,6 @@ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ -src/sat/kissat/definition.c:15:12: warning: declaration of 'abc::watches* abc::definition_extractor::watches [2]' changes meaning of 'watches' [-Wchanges-meaning] - 15 | watches *watches[2]; - | ^~~~~~~ -src/sat/kissat/definition.c:15:3: note: used here to mean 'typedef abc::vector abc::watches' - 15 | watches *watches[2]; - | ^~~~~~~ -src/sat/kissat/watch.h:49:16: note: declared here - 49 | typedef vector watches; - | ^~~~~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ @@ -13530,19 +13813,9 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/extend.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/extend.c -o src/sat/kissat/extend.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/factor.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/factor.c -o src/sat/kissat/factor.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/fastel.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/fastel.c -o src/sat/kissat/fastel.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/equivalences.c:2: + from src/sat/kissat/collect.h:4, + from src/sat/kissat/eliminate.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -13603,6 +13876,7 @@ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ @@ -13754,20 +14028,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/file.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c -o src/sat/kissat/file.o -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/factor.c:4: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/factor.c:7: @@ -13982,20 +14242,6 @@ src/sat/kissat/factor.c:44:23: note: declared here 44 | typedef struct scores scores; | ^~~~~~ --> ABC: `` Compiling: /src/sat/kissat/flags.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/flags.c -o src/sat/kissat/flags.o -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/fastel.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastel.c:4: @@ -14201,13 +14447,9 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/format.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/format.c -o src/sat/kissat/format.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/extend.c:2: + from src/sat/kissat/equivalences.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -14419,15 +14661,15 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/forward.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/forward.c -o src/sat/kissat/forward.o +-> ABC: `` Compiling: /src/sat/kissat/import.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/import.c -o src/sat/kissat/import.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/gates.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/gates.c -o src/sat/kissat/gates.o +-> ABC: `` Compiling: /src/sat/kissat/internal.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/internal.c -o src/sat/kissat/internal.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/flags.c:1: + from src/sat/kissat/forward.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -14640,19 +14882,12 @@ 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/heap.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c -o src/sat/kissat/heap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/ifthenelse.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ifthenelse.c -o src/sat/kissat/ifthenelse.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/import.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/import.c -o src/sat/kissat/import.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/kimits.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kimits.c -o src/sat/kissat/kimits.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/gates.c:7: + from src/sat/kissat/ifthenelse.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -14801,9 +15036,21 @@ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlineheap.h:5, + from src/sat/kissat/heap.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; @@ -14864,19 +15111,8 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/forward.c:4: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/kitten.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kitten.c -o src/sat/kissat/kitten.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -15079,10 +15315,12 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/ifthenelse.c:4: + from src/sat/kissat/gates.c:7: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -15117,8 +15355,6 @@ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/internal.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/internal.c -o src/sat/kissat/internal.o In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; @@ -15296,10 +15532,8 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlineheap.h:5, - from src/sat/kissat/heap.c:2: + from src/sat/kissat/import.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -15511,9 +15745,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/kimits.c +-> ABC: `` Compiling: /src/sat/kissat/krite.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c -o src/sat/kissat/krite.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/learn.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/learn.c -o src/sat/kissat/learn.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/import.c:1: + from src/sat/kissat/kitten.c:116: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -15552,12 +15790,14 @@ src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/logging.c src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/logging.c -o src/sat/kissat/logging.o In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; @@ -15725,6 +15965,7 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -15738,7 +15979,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kimits.c -o src/sat/kissat/kimits.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -15941,18 +16182,14 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/kitten.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kitten.c -o src/sat/kissat/kitten.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/krite.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c -o src/sat/kissat/krite.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/kucky.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kucky.c -o src/sat/kissat/kucky.o +-> ABC: `` Compiling: /src/sat/kissat/minimize.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c -o src/sat/kissat/minimize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/learn.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/learn.c -o src/sat/kissat/learn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/kitten.c:116: + from src/sat/kissat/kimits.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -16164,10 +16401,12 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/mode.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/mode.c -o src/sat/kissat/mode.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/learn.c:3: + from src/sat/kissat/krite.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -16379,27 +16618,19 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/logging.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/logging.c -o src/sat/kissat/logging.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/kptions.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kptions.c -o src/sat/kissat/kptions.o +-> ABC: `` Compiling: /src/sat/kissat/phases.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/phases.c -o src/sat/kissat/phases.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/preprocess.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c -o src/sat/kissat/preprocess.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/krite.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/kimits.c:1: + from src/sat/kissat/learn.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -16409,45 +16640,10 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:6: -src/sat/kissat/assign.h:15:25: note: declared here - 15 | typedef struct assigned assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] - 118 | flags *flags; - | ^~~~~ -src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' - 118 | flags *flags; - | ^~~~~ -In file included from src/sat/kissat/internal.h:13: -src/sat/kissat/flags.h:9:22: note: declared here - 9 | typedef struct flags flags; - | ^~~~~ -src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] - 123 | phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' - 123 | phases phases; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:22: -src/sat/kissat/phases.h:9:23: note: declared here - 9 | typedef struct phases phases; - | ^~~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ @@ -16522,116 +16718,9 @@ src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ -src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] - 172 | unsigneds clause; - | ^~~~~~ -src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' - 166 | clause conflict; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:10: -src/sat/kissat/clause.h:14:23: note: declared here - 14 | typedef struct clause clause; - | ^~~~~~ -src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] - 175 | arena arena; - | ^~~~~ -src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' - 175 | arena arena; - | ^~~~~ -In file included from src/sat/kissat/internal.h:4: -src/sat/kissat/arena.h:25:22: note: declared here - 25 | typedef STACK (ward) arena; - | ^~~~~ -src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] - 176 | vectors vectors; - | ^~~~~~~ -src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' - 176 | vectors vectors; - | ^~~~~~~ -In file included from src/sat/kissat/internal.h:33: -src/sat/kissat/vector.h:25:24: note: declared here - 25 | typedef struct vectors vectors; - | ^~~~~~~ -src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/watch.h:49:16: note: declared here - 49 | typedef vector watches; - | ^~~~~~~ -src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] - 186 | averages averages[2]; - | ^~~~~~~~ -src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:69:23: note: declared here - 69 | typedef STACK (value) eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] - 128 | links *links; - | ^~~~~ -src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' - 128 | links *links; - | ^~~~~ -In file included from src/sat/kissat/internal.h:25: -src/sat/kissat/queue.h:12:22: note: declared here - 12 | typedef struct links links; - | ^~~~~ -src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] - 129 | queue queue; - | ^~~~~ -src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' - 129 | queue queue; - | ^~~~~ -src/sat/kissat/queue.h:13:22: note: declared here - 13 | typedef struct queue queue; - | ^~~~~ -src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] - 138 | frames frames; - | ^~~~~~ -src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' - 138 | frames frames; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:15: -src/sat/kissat/frames.h:27:23: note: declared here - 27 | typedef STACK (frame) frames; - | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ -src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' - 186 | averages averages[2]; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:7: -src/sat/kissat/averages.h:11:25: note: declared here - 11 | typedef struct averages averages; - | ^~~~~~~~ -src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] - 188 | reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' - 188 | reluctant reluctant; - | ^~~~~~~~~ -In file included from src/sat/kissat/internal.h:27: -src/sat/kissat/reluctant.h:10:26: note: declared here - 10 | typedef struct reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] - 190 | bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' - 190 | bounds bounds; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:17: -src/sat/kissat/kimits.h:10:23: note: declared here - 10 | typedef struct bounds bounds; - | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ @@ -16639,62 +16728,6 @@ src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ -src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] - 191 | classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' - 191 | classification classification; - | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:9: -src/sat/kissat/classify.h:16:31: note: declared here - 16 | typedef struct classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/kimits.h:12:23: note: declared here - 12 | typedef struct delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/kimits.h:15:24: note: declared here - 15 | typedef struct enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/kimits.h:16:24: note: declared here - 16 | typedef struct limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/kimits.h:17:23: note: declared here - 17 | typedef struct limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ @@ -16810,16 +16843,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/kucky.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kucky.c -o src/sat/kissat/kucky.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/minimize.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c -o src/sat/kissat/minimize.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/minimize.c:2: + from src/sat/kissat/mode.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -16829,6 +16856,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/print.c src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -17024,6 +17052,7 @@ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/print.c -o src/sat/kissat/print.o src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ @@ -17031,8 +17060,9 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/mode.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/mode.c -o src/sat/kissat/mode.o +-> ABC: `` Compiling: /src/sat/kissat/probe.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/probe.c -o src/sat/kissat/probe.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, @@ -17249,25 +17279,21 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/kptions.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kptions.c -o src/sat/kissat/kptions.o --> ABC: `` Compiling: /src/sat/kissat/phases.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/phases.c -o src/sat/kissat/phases.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/preprocess.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c -o src/sat/kissat/preprocess.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/print.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/print.c -o src/sat/kissat/print.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/probe.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/probe.c -o src/sat/kissat/probe.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/mode.c:3: + from src/sat/kissat/minimize.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/phases.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -17347,7 +17373,6 @@ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ --> ABC: `` Compiling: /src/sat/kissat/profile.c src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ @@ -17358,12 +17383,6 @@ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/probe.c:6: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/profile.c -o src/sat/kissat/profile.o src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ @@ -17374,48 +17393,13 @@ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ -In file included from src/sat/kissat/internal.h:6: -src/sat/kissat/assign.h:15:25: note: declared here - 15 | typedef struct assigned assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] - 118 | flags *flags; - | ^~~~~ -src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' - 118 | flags *flags; - | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ -In file included from src/sat/kissat/internal.h:13: -src/sat/kissat/flags.h:9:22: note: declared here - 9 | typedef struct flags flags; - | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ @@ -17426,9 +17410,6 @@ src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ -src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] - 123 | phases phases; - | ^~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ @@ -17438,19 +17419,15 @@ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ -src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' - 123 | phases phases; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:22: -src/sat/kissat/phases.h:9:23: note: declared here - 9 | typedef struct phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] - 125 | eliminated eliminated; - | ^~~~~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ @@ -17458,107 +17435,127 @@ src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ -src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' - 125 | eliminated eliminated; - | ^~~~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ +In file included from src/sat/kissat/internal.h:6: +src/sat/kissat/assign.h:15:25: note: declared here + 15 | typedef struct assigned assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ -src/sat/kissat/internal.h:69:23: note: declared here - 69 | typedef STACK (value) eliminated; - | ^~~~~~~~~~ +src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] + 118 | flags *flags; + | ^~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ +src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' + 118 | flags *flags; + | ^~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:13: +src/sat/kissat/flags.h:9:22: note: declared here + 9 | typedef struct flags flags; + | ^~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] - 128 | links *links; - | ^~~~~ +src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] + 123 | phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' + 123 | phases phases; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:22: +src/sat/kissat/phases.h:9:23: note: declared here + 9 | typedef struct phases phases; + | ^~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] + 125 | eliminated eliminated; + | ^~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ -src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' - 128 | links *links; - | ^~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ +src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' + 125 | eliminated eliminated; + | ^~~~~~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ +src/sat/kissat/internal.h:69:23: note: declared here + 69 | typedef STACK (value) eliminated; + | ^~~~~~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ +src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] + 128 | links *links; + | ^~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ +src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' + 128 | links *links; + | ^~~~~ +In file included from src/sat/kissat/internal.h:25: +src/sat/kissat/queue.h:12:22: note: declared here + 12 | typedef struct links links; + | ^~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ -src/sat/kissat/kimits.h:16:24: note: declared here - 16 | typedef struct limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] - 195 | limits limits; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:25: -src/sat/kissat/queue.h:12:22: note: declared here - 12 | typedef struct links links; - | ^~~~~ -src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/kimits.h:17:23: note: declared here - 17 | typedef struct limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ +src/sat/kissat/kimits.h:16:24: note: declared here + 16 | typedef struct limited limited; + | ^~~~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ +src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] + 195 | limits limits; + | ^~~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ @@ -17569,6 +17566,15 @@ src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ +src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/kimits.h:17:23: note: declared here + 17 | typedef struct limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ @@ -17585,6 +17591,13 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -17694,22 +17707,35 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/profile.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/profile.c -o src/sat/kissat/profile.o -> ABC: `` Compiling: /src/sat/kissat/promote.c --> ABC: `` Compiling: /src/sat/kissat/proof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c -o src/sat/kissat/promote.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/proof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proof.c -o src/sat/kissat/proof.o +-> ABC: `` Compiling: /src/sat/kissat/propbeyond.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propbeyond.c -o src/sat/kissat/propbeyond.o +-> ABC: `` Compiling: /src/sat/kissat/propdense.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propdense.c -o src/sat/kissat/propdense.o +-> ABC: `` Compiling: /src/sat/kissat/propinitially.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propinitially.c -o src/sat/kissat/propinitially.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/proprobe.c In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/preprocess.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c -o src/sat/kissat/proprobe.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ @@ -17800,6 +17826,7 @@ src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ @@ -17915,11 +17942,14 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/propbeyond.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propbeyond.c -o src/sat/kissat/propbeyond.o +-> ABC: `` Compiling: /src/sat/kissat/propsearch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c -o src/sat/kissat/propsearch.o +-> ABC: `` Compiling: /src/sat/kissat/queue.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/queue.c -o src/sat/kissat/queue.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/phases.c:2: + from src/sat/kissat/probe.c:6: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -18131,14 +18161,17 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/propdense.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propdense.c -o src/sat/kissat/propdense.o +-> ABC: `` Compiling: /src/sat/kissat/reduce.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reduce.c -o src/sat/kissat/reduce.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/reluctant.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reluctant.c -o src/sat/kissat/reluctant.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/propinitially.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propinitially.c -o src/sat/kissat/propinitially.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/promote.h:4, - from src/sat/kissat/promote.c:1: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/fastassign.h:6, + from src/sat/kissat/propinitially.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -18157,7 +18190,6 @@ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ @@ -18181,6 +18213,12 @@ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/promote.h:4, + from src/sat/kissat/promote.c:1: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ @@ -18188,9 +18226,15 @@ src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ @@ -18317,6 +18361,9 @@ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ @@ -18351,44 +18398,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/proprobe.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c -o src/sat/kissat/proprobe.o --> ABC: `` Compiling: /src/sat/kissat/propsearch.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c -o src/sat/kissat/propsearch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/queue.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/queue.c -o src/sat/kissat/queue.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/reduce.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reduce.c -o src/sat/kissat/reduce.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/reluctant.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reluctant.c -o src/sat/kissat/reluctant.o --> ABC: `` Compiling: /src/sat/kissat/reorder.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reorder.c -o src/sat/kissat/reorder.o --> ABC: `` Compiling: /src/sat/kissat/rephase.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/rephase.c -o src/sat/kissat/rephase.o -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/fastassign.h:6, - from src/sat/kissat/propbeyond.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ @@ -18453,6 +18462,7 @@ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/reorder.c src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ @@ -18483,6 +18493,7 @@ src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reorder.c -o src/sat/kissat/reorder.o src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ @@ -18588,6 +18599,22 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/rephase.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/rephase.c -o src/sat/kissat/rephase.o +-> ABC: `` Compiling: /src/sat/kissat/report.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c -o src/sat/kissat/report.o +-> ABC: `` Compiling: /src/sat/kissat/resize.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c -o src/sat/kissat/resize.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/resolve.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resolve.c -o src/sat/kissat/resolve.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/restart.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c -o src/sat/kissat/restart.o +-> ABC: `` Compiling: /src/sat/kissat/resources.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resources.c -o src/sat/kissat/resources.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -18773,6 +18800,17 @@ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/fastassign.h:6, + from src/sat/kissat/propbeyond.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ @@ -18788,6 +18826,7 @@ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ @@ -18804,17 +18843,7 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/fastassign.h:6, - from src/sat/kissat/proprobe.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ @@ -19020,13 +19049,12 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/report.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c -o src/sat/kissat/report.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastassign.h:6, - from src/sat/kissat/propdense.c:2: + from src/sat/kissat/proprobe.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -19087,7 +19115,6 @@ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ @@ -19239,11 +19266,11 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/resize.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c -o src/sat/kissat/resize.o +-> ABC: `` Compiling: /src/sat/kissat/search.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/search.c -o src/sat/kissat/search.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/rephase.c:4: + from src/sat/kissat/reluctant.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -19458,8 +19485,7 @@ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/fastassign.h:6, - from src/sat/kissat/propinitially.c:3: + from src/sat/kissat/reorder.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -19671,12 +19697,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/resolve.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resolve.c -o src/sat/kissat/resolve.o +-> ABC: `` Compiling: /src/sat/kissat/shrink.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/shrink.c -o src/sat/kissat/shrink.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/queue.c:1: + from src/sat/kissat/rephase.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -19715,6 +19739,17 @@ src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/fastassign.h:6, + from src/sat/kissat/propdense.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ @@ -19750,6 +19785,7 @@ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ @@ -19805,7 +19841,6 @@ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ @@ -19889,13 +19924,16 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/reluctant.c:1: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/queue.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/resources.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resources.c -o src/sat/kissat/resources.o src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ @@ -20009,133 +20047,36 @@ src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ -src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' - 179 | watches *watches; - | ^~~~~~~ -src/sat/kissat/watch.h:49:16: note: declared here - 49 | typedef vector watches; - | ^~~~~~~ -src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] - 186 | averages averages[2]; - | ^~~~~~~~ -src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' - 186 | averages averages[2]; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:7: -src/sat/kissat/averages.h:11:25: note: declared here - 11 | typedef struct averages averages; - | ^~~~~~~~ -src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] - 188 | reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' - 188 | reluctant reluctant; - | ^~~~~~~~~ -In file included from src/sat/kissat/internal.h:27: -src/sat/kissat/reluctant.h:10:26: note: declared here - 10 | typedef struct reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] - 190 | bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' - 190 | bounds bounds; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:17: -src/sat/kissat/kimits.h:10:23: note: declared here - 10 | typedef struct bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] - 191 | classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' - 191 | classification classification; - | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:9: -src/sat/kissat/classify.h:16:31: note: declared here - 16 | typedef struct classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/kimits.h:12:23: note: declared here - 12 | typedef struct delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/kimits.h:15:24: note: declared here - 15 | typedef struct enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/kimits.h:16:24: note: declared here - 16 | typedef struct limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/kimits.h:17:23: note: declared here - 17 | typedef struct limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/restart.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c -o src/sat/kissat/restart.o -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/reorder.c:4: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ +src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] + 179 | watches *watches; + | ^~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ +src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' + 179 | watches *watches; + | ^~~~~~~ +src/sat/kissat/watch.h:49:16: note: declared here + 49 | typedef vector watches; + | ^~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ +src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] + 186 | averages averages[2]; + | ^~~~~~~~ +src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' + 186 | averages averages[2]; + | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; @@ -20146,23 +20087,43 @@ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ +In file included from src/sat/kissat/internal.h:7: +src/sat/kissat/averages.h:11:25: note: declared here + 11 | typedef struct averages averages; + | ^~~~~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ +src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] + 188 | reluctant reluctant; + | ^~~~~~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ +src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' + 188 | reluctant reluctant; + | ^~~~~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ +In file included from src/sat/kissat/internal.h:27: +src/sat/kissat/reluctant.h:10:26: note: declared here + 10 | typedef struct reluctant reluctant; + | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ +src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] + 190 | bounds bounds; + | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ +src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' + 190 | bounds bounds; + | ^~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ @@ -20172,35 +20133,79 @@ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ +In file included from src/sat/kissat/internal.h:17: +src/sat/kissat/kimits.h:10:23: note: declared here + 10 | typedef struct bounds bounds; + | ^~~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ +src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] + 191 | classification classification; + | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ +src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' + 191 | classification classification; + | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ +In file included from src/sat/kissat/internal.h:9: +src/sat/kissat/classify.h:16:31: note: declared here + 16 | typedef struct classification classification; + | ^~~~~~~~~~~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ +src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' + 192 | delays delays; + | ^~~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ +src/sat/kissat/kimits.h:12:23: note: declared here + 12 | typedef struct delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' + 193 | enabled enabled; + | ^~~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ +src/sat/kissat/kimits.h:15:24: note: declared here + 15 | typedef struct enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/kimits.h:16:24: note: declared here + 16 | typedef struct limited limited; + | ^~~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ +src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] + 195 | limits limits; + | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ @@ -20208,19 +20213,35 @@ src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ +src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/kimits.h:17:23: note: declared here + 17 | typedef struct limits limits; + | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ @@ -20323,9 +20344,19 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/smooth.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/smooth.c -o src/sat/kissat/smooth.o +-> ABC: `` Compiling: /src/sat/kissat/sort.c +-> ABC: `` Compiling: /src/sat/kissat/stack.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sort.c -o src/sat/kissat/sort.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c -o src/sat/kissat/stack.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/reduce.c:3: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/resolve.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -20537,19 +20568,12 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/search.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/search.c -o src/sat/kissat/search.o -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/resize.c:3: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/statistics.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/statistics.c -o src/sat/kissat/statistics.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/resolve.c:4: + from src/sat/kissat/search.c:7: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -20559,99 +20583,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:6: -src/sat/kissat/assign.h:15:25: note: declared here - 15 | typedef struct assigned assigned; - | ^~~~~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] - 118 | flags *flags; - | ^~~~~ -src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' - 118 | flags *flags; - | ^~~~~ -In file included from src/sat/kissat/internal.h:13: -src/sat/kissat/flags.h:9:22: note: declared here - 9 | typedef struct flags flags; - | ^~~~~ -src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] - 123 | phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' - 123 | phases phases; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:22: -src/sat/kissat/phases.h:9:23: note: declared here - 9 | typedef struct phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:69:23: note: declared here - 69 | typedef STACK (value) eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] - 128 | links *links; - | ^~~~~ -src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' - 128 | links *links; - | ^~~~~ -In file included from src/sat/kissat/internal.h:25: -src/sat/kissat/queue.h:12:22: note: declared here - 12 | typedef struct links links; - | ^~~~~ -src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] - 129 | queue queue; - | ^~~~~ -src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' - 129 | queue queue; - | ^~~~~ -src/sat/kissat/queue.h:13:22: note: declared here - 13 | typedef struct queue queue; - | ^~~~~ -src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] - 138 | frames frames; - | ^~~~~~ -src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' - 138 | frames frames; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:15: -src/sat/kissat/frames.h:27:23: note: declared here - 27 | typedef STACK (frame) frames; - | ^~~~~~ -src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] - 172 | unsigneds clause; - | ^~~~~~ -src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' - 166 | clause conflict; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:10: -src/sat/kissat/clause.h:14:23: note: declared here - 14 | typedef struct clause clause; - | ^~~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -20778,9 +20709,6 @@ src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ -src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] - 175 | arena arena; - | ^~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ @@ -20797,9 +20725,6 @@ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ -src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' - 175 | arena arena; - | ^~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; @@ -20810,7 +20735,7 @@ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:9: +In file included from src/sat/kissat/search.c:4: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ @@ -20860,6 +20785,116 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/strengthen.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c -o src/sat/kissat/strengthen.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/shrink.c:3: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:6: +src/sat/kissat/assign.h:15:25: note: declared here + 15 | typedef struct assigned assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] + 118 | flags *flags; + | ^~~~~ +src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' + 118 | flags *flags; + | ^~~~~ +In file included from src/sat/kissat/internal.h:13: +src/sat/kissat/flags.h:9:22: note: declared here + 9 | typedef struct flags flags; + | ^~~~~ +src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] + 123 | phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' + 123 | phases phases; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:22: +src/sat/kissat/phases.h:9:23: note: declared here + 9 | typedef struct phases phases; + | ^~~~~~ +src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:69:23: note: declared here + 69 | typedef STACK (value) eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] + 128 | links *links; + | ^~~~~ +src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' + 128 | links *links; + | ^~~~~ +In file included from src/sat/kissat/internal.h:25: +src/sat/kissat/queue.h:12:22: note: declared here + 12 | typedef struct links links; + | ^~~~~ +src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] + 129 | queue queue; + | ^~~~~ +src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' + 129 | queue queue; + | ^~~~~ +src/sat/kissat/queue.h:13:22: note: declared here + 13 | typedef struct queue queue; + | ^~~~~ +src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] + 138 | frames frames; + | ^~~~~~ +src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' + 138 | frames frames; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:15: +src/sat/kissat/frames.h:27:23: note: declared here + 27 | typedef STACK (frame) frames; + | ^~~~~~ +src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] + 172 | unsigneds clause; + | ^~~~~~ +src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' + 166 | clause conflict; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:10: +src/sat/kissat/clause.h:14:23: note: declared here + 14 | typedef struct clause clause; + | ^~~~~~ +src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] + 175 | arena arena; + | ^~~~~ +src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' + 175 | arena arena; + | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -20969,21 +21004,12 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/shrink.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/shrink.c -o src/sat/kissat/shrink.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/smooth.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/smooth.c -o src/sat/kissat/smooth.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/sort.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sort.c -o src/sat/kissat/sort.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/stack.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c -o src/sat/kissat/stack.o +-> ABC: `` Compiling: /src/sat/kissat/substitute.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c -o src/sat/kissat/substitute.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/restart.c:5: + from src/sat/kissat/collect.h:4, + from src/sat/kissat/reduce.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -21195,13 +21221,8 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/statistics.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/statistics.c -o src/sat/kissat/statistics.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/search.c:7: + from src/sat/kissat/restart.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -21291,11 +21312,6 @@ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/sort.c:1: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ @@ -21306,12 +21322,6 @@ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ @@ -21374,7 +21384,7 @@ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/search.c:4: +In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ @@ -21424,6 +21434,19 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/resize.c:3: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -21627,7 +21650,7 @@ 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/smooth.c:2: + from src/sat/kissat/sort.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -21637,6 +21660,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/sweep.c src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -21662,6 +21686,7 @@ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sweep.c -o src/sat/kissat/sweep.o In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; @@ -21839,23 +21864,39 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/strengthen.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c -o src/sat/kissat/strengthen.o --> ABC: `` Compiling: /src/sat/kissat/substitute.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c -o src/sat/kissat/substitute.o +-> ABC: `` Compiling: /src/sat/kissat/terminate.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/terminate.c -o src/sat/kissat/terminate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/tiers.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/tiers.c -o src/sat/kissat/tiers.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/trail.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/trail.c -o src/sat/kissat/trail.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/transitive.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/transitive.c -o src/sat/kissat/transitive.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/utilities.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/utilities.c -o src/sat/kissat/utilities.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/vector.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c -o src/sat/kissat/vector.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/vivify.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vivify.c -o src/sat/kissat/vivify.o +-> ABC: `` Compiling: /src/sat/kissat/walk.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c -o src/sat/kissat/walk.o +-> ABC: `` Compiling: /src/sat/kissat/warmup.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/warmup.c -o src/sat/kissat/warmup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/sweep.c --> ABC: `` Compiling: /src/sat/kissat/terminate.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sweep.c -o src/sat/kissat/sweep.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/shrink.c:3: + from src/sat/kissat/terminate.h:4, + from src/sat/kissat/terminate.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/terminate.c -o src/sat/kissat/terminate.o src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ @@ -21865,7 +21906,6 @@ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ @@ -22058,7 +22098,6 @@ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ @@ -22066,18 +22105,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/tiers.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/tiers.c -o src/sat/kissat/tiers.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/trail.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/trail.c -o src/sat/kissat/trail.o +-> ABC: `` Compiling: /src/sat/kissat/watch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/watch.c -o src/sat/kissat/watch.o +-> ABC: `` Compiling: /src/sat/kissat/weaken.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/weaken.c -o src/sat/kissat/weaken.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/transitive.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/transitive.c -o src/sat/kissat/transitive.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/substitute.c:4: + from src/sat/kissat/smooth.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -22109,6 +22143,17 @@ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/sweep.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ @@ -22154,6 +22199,12 @@ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/collect.h:4, + from src/sat/kissat/strengthen.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ @@ -22270,6 +22321,7 @@ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ @@ -22285,33 +22337,11 @@ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/utilities.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/utilities.c -o src/sat/kissat/utilities.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/vector.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c -o src/sat/kissat/vector.o -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/sweep.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/tiers.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ @@ -22337,6 +22367,9 @@ src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ +-> ABC: `` Compiling: /src/bool/bdc/bdcCore.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o +-> ABC: `` Compiling: /src/bool/bdc/bdcDec.c src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ @@ -22495,6 +22528,7 @@ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ @@ -22520,13 +22554,17 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/vivify.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vivify.c -o src/sat/kissat/vivify.o --> ABC: `` Compiling: /src/sat/kissat/walk.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c -o src/sat/kissat/walk.o +-> ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/bdc/bdcTable.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/terminate.h:4, - from src/sat/kissat/terminate.c:1: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/substitute.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -22537,6 +22575,12 @@ 14 | typedef union watch watch; | ^~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/sweep.c:3: +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -22546,6 +22590,12 @@ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ @@ -22572,6 +22622,9 @@ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; @@ -22585,6 +22638,9 @@ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ @@ -22595,6 +22651,10 @@ src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ +In file included from src/sat/kissat/internal.h:6: +src/sat/kissat/assign.h:15:25: note: declared here + 15 | typedef struct assigned assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ @@ -22610,10 +22670,16 @@ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ +src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] + 118 | flags *flags; + | ^~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ +src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' + 118 | flags *flags; + | ^~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ @@ -22630,6 +22696,10 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ +In file included from src/sat/kissat/internal.h:13: +src/sat/kissat/flags.h:9:22: note: declared here + 9 | typedef struct flags flags; + | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -22640,6 +22710,9 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ +src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] + 123 | phases phases; + | ^~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; @@ -22650,9 +22723,16 @@ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ +src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' + 123 | phases phases; + | ^~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:22: +src/sat/kissat/phases.h:9:23: note: declared here + 9 | typedef struct phases phases; + | ^~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ @@ -22663,6 +22743,9 @@ src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ +src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] + 125 | eliminated eliminated; + | ^~~~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ @@ -22679,6 +22762,9 @@ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ +src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' + 125 | eliminated eliminated; + | ^~~~~~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; @@ -22689,6 +22775,9 @@ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:69:23: note: declared here + 69 | typedef STACK (value) eliminated; + | ^~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; @@ -22729,6 +22818,9 @@ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ +src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] + 128 | links *links; + | ^~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ @@ -22739,73 +22831,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/warmup.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/warmup.c -o src/sat/kissat/warmup.o -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/strengthen.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:6: -src/sat/kissat/assign.h:15:25: note: declared here - 15 | typedef struct assigned assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] - 118 | flags *flags; - | ^~~~~ -src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' - 118 | flags *flags; - | ^~~~~ -In file included from src/sat/kissat/internal.h:13: -src/sat/kissat/flags.h:9:22: note: declared here - 9 | typedef struct flags flags; - | ^~~~~ -src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] - 123 | phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' - 123 | phases phases; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:22: -src/sat/kissat/phases.h:9:23: note: declared here - 9 | typedef struct phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:69:23: note: declared here - 69 | typedef STACK (value) eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] - 128 | links *links; - | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ @@ -22858,7 +22883,7 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/internal.h:33: +In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ @@ -22957,8 +22982,12 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/walk.c:4: +-> ABC: `` Compiling: /src/bool/dec/decAbc.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/dec/decFactor.c +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/tiers.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -22968,9 +22997,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -In file included from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/sweep.c:3: +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -23074,7 +23101,7 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/watch.h:7: +In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ @@ -23087,6 +23114,7 @@ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ @@ -23173,9 +23201,18 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/walk.c:5: +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/collect.h:4, + from src/sat/kissat/vivify.c:4: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -23279,7 +23316,7 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/watch.h:7: +In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ @@ -23381,7 +23418,7 @@ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/transitive.c:5: + from src/sat/kissat/watch.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -23593,11 +23630,19 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/watch.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/watch.c -o src/sat/kissat/watch.o +src/sat/kissat/vivify.c:157:13: warning: declaration of 'abc::countrefs abc::vivifier::countrefs' changes meaning of 'countrefs' [-Wchanges-meaning] + 157 | countrefs countrefs; + | ^~~~~~~~~ +src/sat/kissat/vivify.c:157:3: note: used here to mean 'typedef struct abc::countrefs abc::countrefs' + 157 | countrefs countrefs; + | ^~~~~~~~~ +src/sat/kissat/vivify.c:150:26: note: declared here + 150 | typedef STACK (countref) countrefs; + | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/vivify.c:4: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/transitive.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -23634,6 +23679,21 @@ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; @@ -23741,101 +23801,6 @@ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:7: -src/sat/kissat/averages.h:11:25: note: declared here - 11 | typedef struct averages averages; - | ^~~~~~~~ -src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] - 188 | reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' - 188 | reluctant reluctant; - | ^~~~~~~~~ -In file included from src/sat/kissat/internal.h:27: -src/sat/kissat/reluctant.h:10:26: note: declared here - 10 | typedef struct reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] - 190 | bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' - 190 | bounds bounds; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:17: -src/sat/kissat/kimits.h:10:23: note: declared here - 10 | typedef struct bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] - 191 | classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' - 191 | classification classification; - | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:9: -src/sat/kissat/classify.h:16:31: note: declared here - 16 | typedef struct classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/kimits.h:12:23: note: declared here - 12 | typedef struct delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/kimits.h:15:24: note: declared here - 15 | typedef struct enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/kimits.h:16:24: note: declared here - 16 | typedef struct limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/kimits.h:17:23: note: declared here - 17 | typedef struct limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; @@ -23846,6 +23811,10 @@ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ +In file included from src/sat/kissat/internal.h:7: +src/sat/kissat/averages.h:11:25: note: declared here + 11 | typedef struct averages averages; + | ^~~~~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; @@ -23860,6 +23829,9 @@ src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ +src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] + 188 | reluctant reluctant; + | ^~~~~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ @@ -23869,12 +23841,22 @@ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ +src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' + 188 | reluctant reluctant; + | ^~~~~~~~~ +In file included from src/sat/kissat/internal.h:27: +src/sat/kissat/reluctant.h:10:26: note: declared here + 10 | typedef struct reluctant reluctant; + | ^~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ +src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] + 190 | bounds bounds; + | ^~~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; @@ -23888,16 +23870,29 @@ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ +src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' + 190 | bounds bounds; + | ^~~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ +In file included from src/sat/kissat/internal.h:17: +src/sat/kissat/kimits.h:10:23: note: declared here + 10 | typedef struct bounds bounds; + | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ +src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] + 191 | classification classification; + | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' + 191 | classification classification; + | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ @@ -23914,7 +23909,10 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/sat/kissat/internal.h:9: +src/sat/kissat/classify.h:16:31: note: declared here + 16 | typedef struct classification classification; + | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -23922,13 +23920,22 @@ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ +src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] + 192 | delays delays; + | ^~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ +src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' + 192 | delays delays; + | ^~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ +src/sat/kissat/kimits.h:12:23: note: declared here + 12 | typedef struct delays delays; + | ^~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ @@ -23938,16 +23945,37 @@ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ +src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/kimits.h:15:24: note: declared here + 15 | typedef struct enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] + 194 | limited limited; + | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ +src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' + 194 | limited limited; + | ^~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ +src/sat/kissat/kimits.h:16:24: note: declared here + 16 | typedef struct limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] + 195 | limits limits; + | ^~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ @@ -23958,12 +23986,18 @@ src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' + 195 | limits limits; + | ^~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ +src/sat/kissat/kimits.h:17:23: note: declared here + 17 | typedef struct limits limits; + | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; @@ -23974,10 +24008,16 @@ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ @@ -24005,6 +24045,10 @@ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ @@ -24024,20 +24068,19 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/weaken.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/weaken.c -o src/sat/kissat/weaken.o -src/sat/kissat/vivify.c:157:13: warning: declaration of 'abc::countrefs abc::vivifier::countrefs' changes meaning of 'countrefs' [-Wchanges-meaning] - 157 | countrefs countrefs; - | ^~~~~~~~~ -src/sat/kissat/vivify.c:157:3: note: used here to mean 'typedef struct abc::countrefs abc::countrefs' - 157 | countrefs countrefs; - | ^~~~~~~~~ -src/sat/kissat/vivify.c:150:26: note: declared here - 150 | typedef STACK (countref) countrefs; - | ^~~~~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/bdc/bdcCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/walk.c:4: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +-> ABC: `` Compiling: /src/bool/dec/decMan.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -o src/bool/dec/decMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, @@ -24064,6 +24107,19 @@ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/weaken.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ @@ -24254,19 +24310,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/weaken.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -24469,16 +24512,8 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/bool/bdc/bdcDec.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/watch.c:3: + from src/sat/kissat/warmup.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -24614,94 +24649,10 @@ src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ -src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] - 188 | reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' - 188 | reluctant reluctant; - | ^~~~~~~~~ -In file included from src/sat/kissat/internal.h:27: -src/sat/kissat/reluctant.h:10:26: note: declared here - 10 | typedef struct reluctant reluctant; - | ^~~~~~~~~ -src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] - 190 | bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' - 190 | bounds bounds; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:17: -src/sat/kissat/kimits.h:10:23: note: declared here - 10 | typedef struct bounds bounds; - | ^~~~~~ -src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] - 191 | classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' - 191 | classification classification; - | ^~~~~~~~~~~~~~ -In file included from src/sat/kissat/internal.h:9: -src/sat/kissat/classify.h:16:31: note: declared here - 16 | typedef struct classification classification; - | ^~~~~~~~~~~~~~ -src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' - 192 | delays delays; - | ^~~~~~ -src/sat/kissat/kimits.h:12:23: note: declared here - 12 | typedef struct delays delays; - | ^~~~~~ -src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' - 193 | enabled enabled; - | ^~~~~~~ -src/sat/kissat/kimits.h:15:24: note: declared here - 15 | typedef struct enabled enabled; - | ^~~~~~~ -src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' - 194 | limited limited; - | ^~~~~~~ -src/sat/kissat/kimits.h:16:24: note: declared here - 16 | typedef struct limited limited; - | ^~~~~~~ -src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' - 195 | limits limits; - | ^~~~~~ -src/sat/kissat/kimits.h:17:23: note: declared here - 17 | typedef struct limits limits; - | ^~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/warmup.c:4: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ --> ABC: `` Compiling: /src/bool/bdc/bdcTable.c +-> ABC: `` Compiling: /src/bool/dec/decPrint.c +In file included from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/walk.c:5: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -24795,7 +24746,6 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -24806,7 +24756,7 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/internal.h:33: +In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ @@ -24842,6 +24792,23 @@ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o +src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] + 188 | reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' + 188 | reluctant reluctant; + | ^~~~~~~~~ +In file included from src/sat/kissat/internal.h:27: +src/sat/kissat/reluctant.h:10:26: note: declared here + 10 | typedef struct reluctant reluctant; + | ^~~~~~~~~ +src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] + 190 | bounds bounds; + | ^~~~~~ +src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' + 190 | bounds bounds; + | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ @@ -24855,6 +24822,66 @@ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:17: +src/sat/kissat/kimits.h:10:23: note: declared here + 10 | typedef struct bounds bounds; + | ^~~~~~ +In file included from src/sat/kissat/internal.h:9: +src/sat/kissat/classify.h:16:31: note: declared here + 16 | typedef struct classification classification; + | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' + 192 | delays delays; + | ^~~~~~ +src/sat/kissat/kimits.h:12:23: note: declared here + 12 | typedef struct delays delays; + | ^~~~~~ +src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' + 193 | enabled enabled; + | ^~~~~~~ +src/sat/kissat/kimits.h:15:24: note: declared here + 15 | typedef struct enabled enabled; + | ^~~~~~~ +src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' + 194 | limited limited; + | ^~~~~~~ +src/sat/kissat/kimits.h:16:24: note: declared here + 16 | typedef struct limited limited; + | ^~~~~~~ +src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' + 195 | limits limits; + | ^~~~~~ +src/sat/kissat/kimits.h:17:23: note: declared here + 17 | typedef struct limits limits; + | ^~~~~~ +src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] + 191 | classification classification; + | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ +src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' + 191 | classification classification; + | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; @@ -24905,18 +24932,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/dec/decAbc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/dec/decFactor.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o --> ABC: `` Compiling: /src/bool/dec/decMan.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -o src/bool/dec/decMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/dec/decPrint.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c -o src/bool/dec/decUtil.o @@ -24950,10 +24965,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitPla.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitSop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c -o src/bool/kit/kitSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c -o src/bool/kit/kitTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -24973,11 +24988,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c -o src/bool/lucky/luckySimple.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckySwapIJ.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c -o src/bool/lucky/luckySwapIJ.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckySwap.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c -o src/bool/lucky/luckySwapIJ.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c -o src/bool/lucky/luckySwap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rsb/rsbDec6.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c -o src/bool/rsb/rsbDec6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -24986,20 +25001,20 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rpo/rpo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c -o src/bool/rpo/rpo.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c -o src/proof/pdr/pdrCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c -o src/proof/pdr/pdrCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrIncr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c -o src/proof/pdr/pdrIncr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrInv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c -o src/proof/pdr/pdrInv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrSat.c @@ -25016,16 +25031,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c -o src/proof/pdr/pdrUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c -o src/proof/abs/absDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absGla.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c -o src/proof/abs/absGla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c -o src/proof/abs/absGla.o -> ABC: `` Compiling: /src/proof/abs/absGlaOld.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c -o src/proof/abs/absGlaOld.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absIter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c -o src/proof/abs/absIter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25033,29 +25048,23 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c -o src/proof/abs/absOldCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldRef.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -o src/proof/abs/absOldRef.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c -o src/proof/abs/absOldSat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -o src/proof/abs/absOldRef.o -> ABC: `` Compiling: /src/proof/abs/absOldSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c -o src/proof/abs/absOldSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c -o src/proof/abs/absOut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absPth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c -o src/proof/abs/absPth.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRef.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c -o src/proof/abs/absRef.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/bool/kit/kitDsd.c:21: -In function 'abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)', - inlined from 'abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)' at src/bool/kit/kitDsd.c:1779:52: -src/bool/kit/kit.h:156:203: warning: '*_54' may be used uninitialized [-Wmaybe-uninitialized] - 156 | static inline unsigned Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit ) { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; } - | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/proof/abs/absRefSelect.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c -o src/proof/abs/absRefSelect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25070,10 +25079,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c -o src/proof/abs/absUtil.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/liveness.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c -o src/proof/live/liveness.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/liveness_sim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c -o src/proof/live/liveness_sim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25082,10 +25091,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/kliveness.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c -o src/proof/live/kliveness.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/monotone.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c -o src/proof/live/monotone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/disjunctiveMonotone.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c -o src/proof/live/disjunctiveMonotone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25094,6 +25103,12 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/kLiveConstraints.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c -o src/proof/live/kLiveConstraints.o +In file included from src/bool/kit/kitDsd.c:21: +In function 'abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)', + inlined from 'abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)' at src/bool/kit/kitDsd.c:1779:52: +src/bool/kit/kit.h:156:203: warning: '*_54' may be used uninitialized [-Wmaybe-uninitialized] + 156 | static inline unsigned Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit ) { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; } + | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/combination.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c -o src/proof/live/combination.o @@ -25116,27 +25131,12 @@ -> ABC: `` Compiling: /src/proof/int/intCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c -o src/proof/int/intCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, - from /build/reproducible-path/yosys-0.51/abc/src/aig/aig/aig.h:34, - from /build/reproducible-path/yosys-0.51/abc/src/aig/saig/saig.h:29, - from src/sat/bmc/bmc.h:29, - from src/sat/bmc/bmcMaj.c:21: -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)' at src/sat/bmc/bmcMaj.c:3201:24: -/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)': -src/sat/bmc/bmcMaj.c:3190:10: note: 'Entry' declared here - 3190 | word Entry, Truths[100] = { 0x96, 0xE8 }; - | ^~~~~ -> ABC: `` Compiling: /src/proof/int/intContain.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCore.c --> ABC: `` Compiling: /src/proof/int/intCtrex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c -o src/proof/int/intCore.o +-> ABC: `` Compiling: /src/proof/int/intCtrex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c -o src/proof/int/intCtrex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25151,16 +25151,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intM114.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c -o src/proof/int/intM114.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c -o src/proof/int/intMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c -o src/proof/int/intUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c -o src/proof/cec/cecCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecChoice.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c -o src/proof/cec/cecChoice.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25177,24 +25177,24 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c -o src/proof/cec/cecIso.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecMan.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -o src/proof/cec/cecMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecPat.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -o src/proof/cec/cecPat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -o src/proof/cec/cecMan.o -> ABC: `` Compiling: /src/proof/cec/cecProve.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -o src/proof/cec/cecPat.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecProve.c -o src/proof/cec/cecProve.o --> ABC: `` Compiling: /src/proof/cec/cecSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c -o src/proof/cec/cecSatG.o +-> ABC: `` Compiling: /src/proof/cec/cecSat.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c -o src/proof/cec/cecSatG2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG3.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c -o src/proof/cec/cecSatG3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSeq.c @@ -25202,52 +25202,25 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c -o src/proof/cec/cecSim.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSolve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c -o src/proof/cec/cecSolve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSolveG.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c -o src/proof/cec/cecSolveG.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSplit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c -o src/proof/cec/cecSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/proof/abs/absGlaOld.c: In function 'abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)': -src/proof/abs/absGlaOld.c:1896:43: warning: 'Status' may be used uninitialized [-Wmaybe-uninitialized] - 1896 | if ( p->pPars->fVerbose && Status == -1 ) - | ~~~~~~~^~~~~ -src/proof/abs/absGlaOld.c:1645:31: note: 'Status' declared here - 1645 | int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1; - | ^~~~~~ +-> ABC: `` Compiling: /src/proof/cec/cecSweep.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c -o src/proof/cec/cecSweep.o -> ABC: `` Compiling: /src/proof/cec/cecSynth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -o src/proof/cec/cecSynth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/proof/cec/cecSweep.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c -o src/proof/cec/cecSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c -o src/proof/acec/acecCl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3803:24: -/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': -src/sat/bmc/bmcMaj.c:3801:10: note: 'Entry' declared here - 3801 | word Entry; int i; - | ^~~~~ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3806:24: -/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': -src/sat/bmc/bmcMaj.c:3801:10: note: 'Entry' declared here - 3801 | word Entry; int i; - | ^~~~~ -> ABC: `` Compiling: /src/proof/acec/acecCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c -o src/proof/acec/acecCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25265,10 +25238,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c -o src/proof/acec/acecPo.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPool.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c -o src/proof/acec/acecPool.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCover.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c -o src/proof/acec/acecCover.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25280,24 +25253,9 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecNorm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -o src/proof/acec/acecNorm.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecOrder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c -o src/proof/acec/acecOrder.o -In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:31, - from /build/reproducible-path/yosys-0.51/abc/src/aig/gia/gia.h:34, - from src/proof/acec/acecInt.h:29, - from src/proof/acec/acecCl.c:21: -In function 'abc::Vec_IntSize(abc::Vec_Int_t_*)', - inlined from 'abc::Acec_DetectAdditional(abc::Gia_Man_t_*, int)' at src/proof/acec/acecCl.c:330:51: -/build/reproducible-path/yosys-0.51/abc/src/misc/vec/vecInt.h:398:15: warning: pointer used after 'free' [-Wuse-after-free] - 398 | return p->nSize; - | ^~~~~ -In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29: -In function 'abc::Vec_IntFree(abc::Vec_Int_t_*)', - inlined from 'abc::Acec_DetectAdditional(abc::Gia_Man_t_*, int)' at src/proof/acec/acecCl.c:325:20: -/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:267:48: note: call to 'free' here - 267 | #define ABC_FREE(obj) ((obj) ? (free((char *) (obj)), (obj) = 0) : 0) - | ~~~~^~~~~~~~~~~~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPolyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c -o src/proof/acec/acecPolyn.o @@ -25330,9 +25288,24 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c -o src/proof/dch/dchCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -o src/proof/dch/dchCore.o -> ABC: `` Compiling: /src/proof/dch/dchMan.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -o src/proof/dch/dchCore.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c -o src/proof/dch/dchMan.o +In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:31, + from /build/reproducible-path/yosys-0.51/abc/src/aig/gia/gia.h:34, + from src/proof/acec/acecInt.h:29, + from src/proof/acec/acecCl.c:21: +In function 'abc::Vec_IntSize(abc::Vec_Int_t_*)', + inlined from 'abc::Acec_DetectAdditional(abc::Gia_Man_t_*, int)' at src/proof/acec/acecCl.c:330:51: +/build/reproducible-path/yosys-0.51/abc/src/misc/vec/vecInt.h:398:15: warning: pointer used after 'free' [-Wuse-after-free] + 398 | return p->nSize; + | ^~~~~ +In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29: +In function 'abc::Vec_IntFree(abc::Vec_Int_t_*)', + inlined from 'abc::Acec_DetectAdditional(abc::Gia_Man_t_*, int)' at src/proof/acec/acecCl.c:325:20: +/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:267:48: note: call to 'free' here + 267 | #define ABC_FREE(obj) ((obj) ? (free((char *) (obj)), (obj) = 0) : 0) + | ~~~~^~~~~~~~~~~~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSat.c @@ -25359,13 +25332,13 @@ -> ABC: `` Compiling: /src/proof/fraig/fraigFeed.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c -o src/proof/fraig/fraigFeed.o -> ABC: `` Compiling: /src/proof/fraig/fraigMan.c +-> ABC: `` Compiling: /src/proof/fraig/fraigMem.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -o src/proof/fraig/fraigMem.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c -o src/proof/fraig/fraigMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/proof/fraig/fraigMem.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -o src/proof/fraig/fraigMem.o -> ABC: `` Compiling: /src/proof/fraig/fraigNode.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -o src/proof/fraig/fraigNode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigPrime.c @@ -25375,14 +25348,14 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c -o src/proof/fraig/fraigSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigTable.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigUtil.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -o src/proof/fraig/fraigUtil.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigVec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c -o src/proof/fraig/fraigVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraBmc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -o src/proof/fra/fraBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25390,26 +25363,33 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c -o src/proof/fra/fraCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClass.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClau.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c -o src/proof/fra/fraClau.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClaus.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c -o src/proof/fra/fraClaus.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c -o src/proof/fra/fraCnf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/proof/abs/absGlaOld.c: In function 'abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)': +src/proof/abs/absGlaOld.c:1896:43: warning: 'Status' may be used uninitialized [-Wmaybe-uninitialized] + 1896 | if ( p->pPars->fVerbose && Status == -1 ) + | ~~~~~~~^~~~~ +src/proof/abs/absGlaOld.c:1645:31: note: 'Status' declared here + 1645 | int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1; + | ^~~~~~ -> ABC: `` Compiling: /src/proof/fra/fraCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c -o src/proof/fra/fraCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraHot.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c -o src/proof/fra/fraHot.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraImp.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c -o src/proof/fra/fraHot.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -o src/proof/fra/fraImp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraInd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c -o src/proof/fra/fraInd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25423,17 +25403,17 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c -o src/proof/fra/fraMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraPart.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c -o src/proof/fra/fraPart.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSat.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c -o src/proof/fra/fraPart.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c -o src/proof/fra/fraSat.o --> ABC: `` Compiling: /src/proof/fra/fraSec.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/fra/fraSec.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o -> ABC: `` Compiling: /src/proof/fra/fraSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -o src/proof/fra/fraSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c -o src/proof/ssw/sswAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25441,47 +25421,47 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c -o src/proof/ssw/sswBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswClass.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c -o src/proof/ssw/sswClass.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswCnf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c -o src/proof/ssw/sswClass.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c -o src/proof/ssw/sswCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswConstr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c -o src/proof/ssw/sswConstr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c -o src/proof/ssw/sswCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswDyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c -o src/proof/ssw/sswDyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswFilter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c -o src/proof/ssw/sswFilter.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswIslands.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c -o src/proof/ssw/sswIslands.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswLcorr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c -o src/proof/ssw/sswLcorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c -o src/proof/ssw/sswMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c -o src/proof/ssw/sswPart.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPairs.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -o src/proof/ssw/sswPairs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswRarity.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c -o src/proof/ssw/sswRarity.o --> ABC: `` Compiling: /src/proof/ssw/sswSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -o src/proof/ssw/sswPairs.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/ssw/sswSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c -o src/proof/ssw/sswSat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSemi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c -o src/proof/ssw/sswSemi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c -o src/proof/ssw/sswSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25493,10 +25473,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswUnique.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c -o src/proof/ssw/sswUnique.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -o src/aig/aig/aigCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c -o src/aig/aig/aigCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25508,13 +25488,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c -o src/aig/aig/aigDup.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigFanout.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c -o src/aig/aig/aigFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c -o src/aig/aig/aigFanout.o -> ABC: `` Compiling: /src/aig/aig/aigFrames.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c -o src/aig/aig/aigFrames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c -o src/aig/aig/aigInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25529,12 +25509,12 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMffc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c -o src/aig/aig/aigMffc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/aig/aigOper.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o -> ABC: `` Compiling: /src/aig/aig/aigObj.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -o src/aig/aig/aigObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/aig/aigOper.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOrder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o @@ -25542,55 +25522,70 @@ -> ABC: `` Compiling: /src/aig/aig/aigPack.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c -o src/aig/aig/aigPack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/aig/aigPartReg.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -o src/aig/aig/aigPartReg.o -> ABC: `` Compiling: /src/aig/aig/aigPart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -o src/aig/aig/aigPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/aig/aigPartReg.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -o src/aig/aig/aigPartReg.o -src/aig/aig/aigMem.c: In function 'abc::Aig_MmStepStart(int)': -src/aig/aig/aigMem.c:488:32: warning: 'MEM[(struct Aig_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] - 488 | p->pMap[k] = p->pMems[0]; - | ~~~~~~~~~~^ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPartSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c -o src/aig/aig/aigPartSat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRepr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c -o src/aig/aig/aigRepr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRet.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c -o src/aig/aig/aigRet.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRetF.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c -o src/aig/aig/aigRetF.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigScl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c -o src/aig/aig/aigScl.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigShow.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c -o src/aig/aig/aigShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/aig/aig/aigMem.c: In function 'abc::Aig_MmStepStart(int)': +src/aig/aig/aigMem.c:488:32: warning: 'MEM[(struct Aig_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] + 488 | p->pMap[k] = p->pMems[0]; + | ~~~~~~~~~~^ -> ABC: `` Compiling: /src/aig/aig/aigSplit.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c -o src/aig/aig/aigSplit.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/aig/aigTiming.c -> ABC: `` Compiling: /src/aig/aig/aigTable.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c -o src/aig/aig/aigSplit.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c -o src/aig/aig/aigTable.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/aig/aigTiming.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -o src/aig/aig/aigTiming.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c -o src/aig/aig/aigTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTsim.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c -o src/aig/aig/aigTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c -o src/aig/aig/aigUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c -o src/aig/aig/aigWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, + from /build/reproducible-path/yosys-0.51/abc/src/aig/aig/aig.h:34, + from /build/reproducible-path/yosys-0.51/abc/src/aig/saig/saig.h:29, + from src/sat/bmc/bmc.h:29, + from src/sat/bmc/bmcMaj.c:21: +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)' at src/sat/bmc/bmcMaj.c:3201:24: +/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)': +src/sat/bmc/bmcMaj.c:3190:10: note: 'Entry' declared here + 3190 | word Entry, Truths[100] = { 0x96, 0xE8 }; + | ^~~~~ -> ABC: `` Compiling: /src/aig/saig/saigCone.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c -o src/aig/saig/saigCone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25599,8 +25594,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigConstr2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c -o src/aig/saig/saigConstr2.o --> ABC: `` Compiling: /src/aig/saig/saigDual.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/saig/saigDual.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c -o src/aig/saig/saigDual.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigDup.c @@ -25628,23 +25623,23 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c -o src/aig/saig/saigOutDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigPhase.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c -o src/aig/saig/saigPhase.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetFwd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -o src/aig/saig/saigRetFwd.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c -o src/aig/saig/saigPhase.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetMin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetStep.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c -o src/aig/saig/saigRetStep.o -> ABC: `` Compiling: /src/aig/saig/saigScl.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c -o src/aig/saig/saigRetStep.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c -o src/aig/saig/saigScl.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSimFast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c -o src/aig/saig/saigSimFast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSimMv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c -o src/aig/saig/saigSimMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25671,7 +25666,6 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c -o src/aig/gia/giaAig.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAgi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c -o src/aig/gia/giaAgi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25679,15 +25673,16 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c -o src/aig/gia/giaAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAigerExt.c +-> ABC: `` Compiling: /src/aig/gia/giaBalAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c -o src/aig/gia/giaAigerExt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaBalAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c -o src/aig/gia/giaBalAig.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBalLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c -o src/aig/gia/giaBalLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBalMap.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c -o src/aig/gia/giaBalMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBidec.c @@ -25695,43 +25690,63 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCCof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c -o src/aig/gia/giaCCof.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c -o src/aig/gia/giaCex.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaClp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c -o src/aig/gia/giaClp.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c -o src/aig/gia/giaCof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCone.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c -o src/aig/gia/giaCone.o -> ABC: `` Compiling: /src/aig/gia/giaCSatOld.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c -o src/aig/gia/giaCSatOld.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c -o src/aig/gia/giaCSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSat2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c -o src/aig/gia/giaCSat2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSat3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c -o src/aig/gia/giaCSat3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSatP.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c -o src/aig/gia/giaCSatP.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCTas.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c -o src/aig/gia/giaCTas.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c -o src/aig/gia/giaCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDecs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c -o src/aig/gia/giaDecs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3803:24: +/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': +src/sat/bmc/bmcMaj.c:3801:10: note: 'Entry' declared here + 3801 | word Entry; int i; + | ^~~~~ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3806:24: +/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': +src/sat/bmc/bmcMaj.c:3801:10: note: 'Entry' declared here + 3801 | word Entry; int i; + | ^~~~~ -> ABC: `` Compiling: /src/aig/gia/giaDeep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c -o src/aig/gia/giaDeep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25741,14 +25756,32 @@ -> ABC: `` Compiling: /src/aig/gia/giaDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c -o src/aig/gia/giaDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaEmbed.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -o src/aig/gia/giaEmbed.o -> ABC: `` Compiling: /src/aig/gia/giaEdge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c -o src/aig/gia/giaEdge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaEmbed.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -o src/aig/gia/giaEmbed.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEnable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c -o src/aig/gia/giaEnable.o +-> ABC: `` Compiling: /src/aig/gia/giaEra.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c -o src/aig/gia/giaEra.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaEquiv.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -o src/aig/gia/giaEquiv.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaEra2.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c -o src/aig/gia/giaEra2.o +-> ABC: `` Compiling: /src/aig/gia/giaEsop.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c -o src/aig/gia/giaEsop.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaExist.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -o src/aig/gia/giaExist.o +-> ABC: `` Compiling: /src/aig/gia/giaFalse.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -o src/aig/gia/giaFalse.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, @@ -25775,57 +25808,39 @@ /usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaEquiv.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -o src/aig/gia/giaEquiv.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaEra.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c -o src/aig/gia/giaEra.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaEra2.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c -o src/aig/gia/giaEra2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaEsop.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c -o src/aig/gia/giaEsop.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaExist.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -o src/aig/gia/giaExist.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaFalse.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -o src/aig/gia/giaFalse.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFanout.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c -o src/aig/gia/giaFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaForce.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c -o src/aig/gia/giaForce.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFrames.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c -o src/aig/gia/giaFrames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFront.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c -o src/aig/gia/giaFront.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFx.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c -o src/aig/gia/giaFx.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c -o src/aig/gia/giaFx.o -> ABC: `` Compiling: /src/aig/gia/giaGen.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c -o src/aig/gia/giaGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaGig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c -o src/aig/gia/giaGig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaGlitch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c -o src/aig/gia/giaGlitch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaHash.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c -o src/aig/gia/giaHash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaIf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c -o src/aig/gia/giaIf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaIf.c -> ABC: `` Compiling: /src/aig/gia/giaIff.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c -o src/aig/gia/giaIf.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c -o src/aig/gia/giaIff.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIiff.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c -o src/aig/gia/giaIiff.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25840,10 +25855,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaJf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c -o src/aig/gia/giaJf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaKf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c -o src/aig/gia/giaKf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaLf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c -o src/aig/gia/giaLf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25859,31 +25874,102 @@ -> ABC: `` Compiling: /src/aig/gia/giaMfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaMini.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o +-> ABC: `` Compiling: /src/aig/gia/giaMinLut.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -o src/aig/gia/giaMinLut.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaMinLut2.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -o src/aig/gia/giaMinLut2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaMulFind.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMulFind.c -o src/aig/gia/giaMulFind.o +-> ABC: `` Compiling: /src/aig/gia/giaMuxes.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaNf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaOf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o +-> ABC: `` Compiling: /src/aig/gia/giaPack.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaPat.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o +-> ABC: `` Compiling: /src/aig/gia/giaPat2.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/aig/gia/giaMem.c: In function 'abc::Gia_MmStepStart(int)': +src/aig/gia/giaMem.c:484:32: warning: 'MEM[(struct Gia_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] + 484 | p->pMap[k] = p->pMems[0]; + | ~~~~~~~~~~^ +-> ABC: `` Compiling: /src/aig/gia/giaPf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaQbf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaReshape1.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -o src/aig/gia/giaReshape1.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaReshape2.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -o src/aig/gia/giaReshape2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaResub.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaResub2.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -o src/aig/gia/giaResub2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaResub3.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -o src/aig/gia/giaResub3.o In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, - from src/aig/gia/giaEquiv.c:21: -src/aig/gia/giaEquiv.c: In function 'abc::Gia_ManTransferEquivs2(abc::Gia_Man_t_*, abc::Gia_Man_t_*)': -/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:265:50: warning: argument 1 range [18446744071562067968, 18446744073709551615] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] - 265 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + from src/aig/gia/giaPack.c:21: +In function 'abc::Vec_IntAlloc(int)', + inlined from 'abc::Gia_ManLutCollect(abc::Gia_Man_t_*)' at src/aig/gia/giaPack.c:105:26: +/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:264:50: warning: argument 1 range [18446744065119617028, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] + 264 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/14/cstdlib:79, from /usr/include/c++/14/stdlib.h:36, from src/aig/gia/gia.h:30: -/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here - 675 | extern void *calloc (size_t __nmemb, size_t __size) +/usr/include/stdlib.h: In function 'abc::Gia_ManLutCollect(abc::Gia_Man_t_*)': +/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here + 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^~~~~~ -src/aig/gia/giaMem.c: In function 'abc::Gia_MmStepStart(int)': -src/aig/gia/giaMem.c:484:32: warning: 'MEM[(struct Gia_MmFixed_t * *)_3]' may be used uninitialized [-Wmaybe-uninitialized] - 484 | p->pMap[k] = p->pMems[0]; - | ~~~~~~~~~~^ --> ABC: `` Compiling: /src/aig/gia/giaMini.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o +-> ABC: `` Compiling: /src/aig/gia/giaResub6.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -o src/aig/gia/giaResub6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaMinLut.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -o src/aig/gia/giaMinLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaMinLut2.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -o src/aig/gia/giaMinLut2.o +-> ABC: `` Compiling: /src/aig/gia/giaRetime.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaRex.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSatEdge.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c -o src/aig/gia/giaSatEdge.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSatLE.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c -o src/aig/gia/giaSatLE.o +-> ABC: `` Compiling: /src/aig/gia/giaSatLut.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c -o src/aig/gia/giaSatLut.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSatMap.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c -o src/aig/gia/giaSatMap.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSatoko.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c -o src/aig/gia/giaSatoko.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSatSyn.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c -o src/aig/gia/giaSatSyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:604:32, @@ -25915,48 +26001,39 @@ src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaMulFind.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMulFind.c -o src/aig/gia/giaMulFind.o +-> ABC: `` Compiling: /src/aig/gia/giaSat3.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c -o src/aig/gia/giaSat3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaMuxes.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o +-> ABC: `` Compiling: /src/aig/gia/giaScl.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c -o src/aig/gia/giaScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaNf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o +-> ABC: `` Compiling: /src/aig/gia/giaScript.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c -o src/aig/gia/giaScript.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaOf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o +-> ABC: `` Compiling: /src/aig/gia/giaShow.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c -o src/aig/gia/giaShow.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaShrink.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c -o src/aig/gia/giaShrink.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaShrink6.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -o src/aig/gia/giaShrink6.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaShrink7.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c -o src/aig/gia/giaShrink7.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSif.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c -o src/aig/gia/giaSif.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSim.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c -o src/aig/gia/giaSim.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSim2.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c -o src/aig/gia/giaSim2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSimBase.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c -o src/aig/gia/giaSimBase.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: -src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] - 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); - | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ -In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: -src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] - 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); - | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ -In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: -src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] - 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); - | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); @@ -26215,106 +26292,96 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaSort.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c -o src/aig/gia/giaSort.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSpeedup.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c -o src/aig/gia/giaSpeedup.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSplit.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c -o src/aig/gia/giaSplit.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaStg.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c -o src/aig/gia/giaStg.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaStoch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c -o src/aig/gia/giaStoch.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaStr.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c -o src/aig/gia/giaStr.o +-> ABC: `` Compiling: /src/aig/gia/giaSupMin.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c -o src/aig/gia/giaSupMin.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSupp.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c -o src/aig/gia/giaSupp.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: + inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': +src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: + inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': +src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: + inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ -In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', - inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, - inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1200:38: -src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] - 360 | p->pLeaves[0] = i; - | ~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ -In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', - inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, - inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1201:38: -src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] - 360 | p->pLeaves[0] = i; - | ~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ -In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', - inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, - inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1236:38: -src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] - 360 | p->pLeaves[0] = i; - | ~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ -In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', - inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, - inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1252:38: -src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] - 360 | p->pLeaves[0] = i; - | ~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': +src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, - inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: + inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, + inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': +src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, - inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: + inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, + inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': +src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, - inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: + inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, + inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': +src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaSupps.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c -o src/aig/gia/giaSupps.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSweep.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); @@ -26570,17 +26637,128 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaPack.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o +In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', + inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, + inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1200:38: +src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] + 360 | p->pLeaves[0] = i; + | ~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', + inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, + inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1201:38: +src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] + 360 | p->pLeaves[0] = i; + | ~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', + inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, + inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1236:38: +src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] + 360 | p->pLeaves[0] = i; + | ~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', + inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, + inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1252:38: +src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] + 360 | p->pLeaves[0] = i; + | ~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', + inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, + inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: +src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] + 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); + | ~~~~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', + inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, + inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: +src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] + 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); + | ~~~~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', + inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, + inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: +src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] + 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); + | ~~~~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaSweeper.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPat.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o +-> ABC: `` Compiling: /src/aig/gia/giaSwitch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPat2.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o +In file included from /build/reproducible-path/yosys-0.51/abc/src/sat/bsat/satSolver.h:29, + from /build/reproducible-path/yosys-0.51/abc/src/sat/bsat/satStore.h:36, + from src/aig/gia/giaOf.c:30: +src/aig/gia/giaOf.c: In function 'abc::Of_ManComputeForwardDirconCut(abc::Of_Man_t_*, int, int*, int*, int*)': +src/aig/gia/giaOf.c:1324:21: warning: 'Delays' may be used uninitialized [-Wmaybe-uninitialized] + 1324 | assert( Delays[0] >= 0 && Delays[nSize-1] >= 0 ); + | ~~~~~~~~^ +src/aig/gia/giaOf.c:1307:9: note: 'Delays' declared here + 1307 | int Delays[6], Perm[6] = {0, 1, 2, 3, 4, 5}; + | ^~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaTim.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -o src/aig/gia/giaTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o +-> ABC: `` Compiling: /src/aig/gia/giaTis.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o +-> ABC: `` Compiling: /src/aig/gia/giaTranStoch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c -o src/aig/gia/giaTranStoch.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaTruth.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c -o src/aig/gia/giaTruth.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, + from src/aig/gia/gia.h:34, + from src/aig/gia/giaStg.c:21: +In function 'abc::Vec_VecAlloc(int)', + inlined from 'abc::Vec_VecStart(int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vecVec.h:172:21, + inlined from 'abc::Gia_ManStgOneHot(abc::Vec_Int_t_*, int, int, int)' at src/aig/gia/giaStg.c:347:29: +/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:264:50: warning: argument 1 range [18446744056529682432, 18446744073709551608] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] + 264 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from /usr/include/c++/14/cstdlib:79, + from /usr/include/c++/14/stdlib.h:36, + from src/aig/gia/gia.h:30: +/usr/include/stdlib.h: In function 'abc::Gia_ManStgOneHot(abc::Vec_Int_t_*, int, int, int)': +/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here + 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ + | ^~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaTsim.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c -o src/aig/gia/giaTsim.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaUnate.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c -o src/aig/gia/giaUnate.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaUtil.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c -o src/aig/gia/giaUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] @@ -26715,24 +26893,12 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaQbf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o +-> ABC: `` Compiling: /src/aig/gia/giaBound.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBound.c -o src/aig/gia/giaBound.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/ioa/ioaReadAig.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c -o src/aig/ioa/ioaReadAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, - from src/aig/gia/gia.h:34, - from src/aig/gia/giaPack.c:21: -In function 'abc::Vec_IntAlloc(int)', - inlined from 'abc::Gia_ManLutCollect(abc::Gia_Man_t_*)' at src/aig/gia/giaPack.c:105:26: -/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:264:50: warning: argument 1 range [18446744065119617028, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] - 264 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from /usr/include/c++/14/cstdlib:79, - from /usr/include/c++/14/stdlib.h:36, - from src/aig/gia/gia.h:30: -/usr/include/stdlib.h: In function 'abc::Gia_ManLutCollect(abc::Gia_Man_t_*)': -/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here - 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ - | ^~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); @@ -26866,6 +27032,15 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/aig/ioa/ioaWriteAig.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c -o src/aig/ioa/ioaWriteAig.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/ioa/ioaUtil.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -o src/aig/ioa/ioaUtil.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/ivy/ivyBalance.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c -o src/aig/ivy/ivyBalance.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); @@ -26980,188 +27155,15 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaReshape1.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -o src/aig/gia/giaReshape1.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaReshape2.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -o src/aig/gia/giaReshape2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaResub.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaResub2.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -o src/aig/gia/giaResub2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaResub3.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -o src/aig/gia/giaResub3.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaResub6.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -o src/aig/gia/giaResub6.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaRetime.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.51/abc/src/sat/bsat/satSolver.h:29, - from /build/reproducible-path/yosys-0.51/abc/src/sat/bsat/satStore.h:36, - from src/aig/gia/giaOf.c:30: -src/aig/gia/giaOf.c: In function 'abc::Of_ManComputeForwardDirconCut(abc::Of_Man_t_*, int, int*, int*, int*)': -src/aig/gia/giaOf.c:1324:21: warning: 'Delays' may be used uninitialized [-Wmaybe-uninitialized] - 1324 | assert( Delays[0] >= 0 && Delays[nSize-1] >= 0 ); - | ~~~~~~~~^ -src/aig/gia/giaOf.c:1307:9: note: 'Delays' declared here - 1307 | int Delays[6], Perm[6] = {0, 1, 2, 3, 4, 5}; - | ^~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaRex.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSatEdge.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c -o src/aig/gia/giaSatEdge.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSatLE.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c -o src/aig/gia/giaSatLE.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSatLut.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c -o src/aig/gia/giaSatLut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSatMap.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c -o src/aig/gia/giaSatMap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSatoko.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c -o src/aig/gia/giaSatoko.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSatSyn.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c -o src/aig/gia/giaSatSyn.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSat3.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c -o src/aig/gia/giaSat3.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaScl.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c -o src/aig/gia/giaScl.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaScript.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c -o src/aig/gia/giaScript.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaShow.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c -o src/aig/gia/giaShow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaShrink.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c -o src/aig/gia/giaShrink.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaShrink6.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -o src/aig/gia/giaShrink6.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaShrink7.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c -o src/aig/gia/giaShrink7.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSif.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c -o src/aig/gia/giaSif.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSim.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c -o src/aig/gia/giaSim.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSim2.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c -o src/aig/gia/giaSim2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSimBase.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c -o src/aig/gia/giaSimBase.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSort.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c -o src/aig/gia/giaSort.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSpeedup.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c -o src/aig/gia/giaSpeedup.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSplit.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c -o src/aig/gia/giaSplit.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaStg.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c -o src/aig/gia/giaStg.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaStoch.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c -o src/aig/gia/giaStoch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaStr.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c -o src/aig/gia/giaStr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSupMin.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c -o src/aig/gia/giaSupMin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSupp.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c -o src/aig/gia/giaSupp.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, - from src/aig/gia/gia.h:34, - from src/aig/gia/giaStg.c:21: -In function 'abc::Vec_VecAlloc(int)', - inlined from 'abc::Vec_VecStart(int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vecVec.h:172:21, - inlined from 'abc::Gia_ManStgOneHot(abc::Vec_Int_t_*, int, int, int)' at src/aig/gia/giaStg.c:347:29: -/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:264:50: warning: argument 1 range [18446744056529682432, 18446744073709551608] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] - 264 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from /usr/include/c++/14/cstdlib:79, - from /usr/include/c++/14/stdlib.h:36, - from src/aig/gia/gia.h:30: -/usr/include/stdlib.h: In function 'abc::Gia_ManStgOneHot(abc::Vec_Int_t_*, int, int, int)': -/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here - 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ - | ^~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaSupps.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c -o src/aig/gia/giaSupps.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSweep.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSweeper.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSwitch.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaTim.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -o src/aig/gia/giaTim.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaTis.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaTranStoch.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c -o src/aig/gia/giaTranStoch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaTruth.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c -o src/aig/gia/giaTruth.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaTsim.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c -o src/aig/gia/giaTsim.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaUnate.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c -o src/aig/gia/giaUnate.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaUtil.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c -o src/aig/gia/giaUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaBound.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBound.c -o src/aig/gia/giaBound.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/ioa/ioaReadAig.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c -o src/aig/ioa/ioaReadAig.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/ioa/ioaWriteAig.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c -o src/aig/ioa/ioaWriteAig.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/ioa/ioaUtil.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -o src/aig/ioa/ioaUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/ivy/ivyBalance.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c -o src/aig/ivy/ivyBalance.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c -o src/aig/ivy/ivyCanon.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCheck.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c -o src/aig/ivy/ivyCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c -o src/aig/ivy/ivyCheck.o -> ABC: `` Compiling: /src/aig/ivy/ivyCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c -o src/aig/ivy/ivyCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCutTrav.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c -o src/aig/ivy/ivyCutTrav.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27178,60 +27180,42 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c -o src/aig/ivy/ivyFastMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyFraig.c +In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, + from src/aig/gia/gia.h:34, + from src/aig/gia/giaEquiv.c:21: +src/aig/gia/giaEquiv.c: In function 'abc::Gia_ManTransferEquivs2(abc::Gia_Man_t_*, abc::Gia_Man_t_*)': +/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:265:50: warning: argument 1 range [18446744071562067968, 18446744073709551615] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] + 265 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from /usr/include/c++/14/cstdlib:79, + from /usr/include/c++/14/stdlib.h:36, + from src/aig/gia/gia.h:30: +/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here + 675 | extern void *calloc (size_t __nmemb, size_t __size) + | ^~~~~~ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c -o src/aig/ivy/ivyFraig.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyHaig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c -o src/aig/ivy/ivyHaig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c -o src/aig/ivy/ivyMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapNodeArea2(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)': -src/aig/ivy/ivyFastMap.c:400:25: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] - 400 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); - | ~~~~~~~~~~~~~~~~^ -src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' - 52 | int pArray[0]; // the support nodes - | ^~~~~~ -src/aig/ivy/ivyFastMap.c:407:25: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] - 407 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); - | ~~~~~~~~~~~~~~~~^ -src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' - 52 | int pArray[0]; // the support nodes - | ^~~~~~ -> ABC: `` Compiling: /src/aig/ivy/ivyMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c -o src/aig/ivy/ivyMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyMulti.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -o src/aig/ivy/ivyMulti.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', - inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: -src/aig/ivy/ivyFastMap.c:486:25: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] - 486 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); - | ~~~~~~~~~~~~~~~~^ -src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': -src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' - 52 | int pArray[0]; // the support nodes - | ^~~~~~ -In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', - inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: -src/aig/ivy/ivyFastMap.c:494:25: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] - 494 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); - | ~~~~~~~~~~~~~~~~^ -src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': -src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' - 52 | int pArray[0]; // the support nodes - | ^~~~~~ -> ABC: `` Compiling: /src/aig/ivy/ivyObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -o src/aig/ivy/ivyObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyOper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -o src/aig/ivy/ivyOper.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyResyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c -o src/aig/ivy/ivyResyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyRwr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -o src/aig/ivy/ivyRwr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27240,10 +27224,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyShow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c -o src/aig/ivy/ivyShow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -o src/aig/ivy/ivyTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c -o src/aig/ivy/ivyUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27253,32 +27237,22 @@ -> ABC: `` Compiling: /src/aig/hop/hopCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c -o src/aig/hop/hopCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, - from src/aig/gia/gia.h:34, - from src/aig/gia/giaSimBase.c:21: -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3596:24: -/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': -src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here - 3586 | word Entry; int i; - | ^~~~~ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3599:24: -/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': -src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here - 3586 | word Entry; int i; - | ^~~~~ -> ABC: `` Compiling: /src/aig/hop/hopDfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c -o src/aig/hop/hopDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapNodeArea2(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)': +src/aig/ivy/ivyFastMap.c:400:25: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] + 400 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); + | ~~~~~~~~~~~~~~~~^ +src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' + 52 | int pArray[0]; // the support nodes + | ^~~~~~ +src/aig/ivy/ivyFastMap.c:407:25: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] + 407 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); + | ~~~~~~~~~~~~~~~~^ +src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' + 52 | int pArray[0]; // the support nodes + | ^~~~~~ -> ABC: `` Compiling: /src/aig/hop/hopMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c -o src/aig/hop/hopMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27289,17 +27263,17 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -o src/aig/hop/hopObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopOper.c --> ABC: `` Compiling: /src/aig/hop/hopTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c -o src/aig/hop/hopOper.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/hop/hopTable.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopTruth.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c -o src/aig/hop/hopTruth.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopUtil.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c -o src/aig/hop/hopTruth.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c -o src/aig/hop/hopUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAPI.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c -o src/bdd/cudd/cuddAPI.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27312,37 +27286,55 @@ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddFind.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c -o src/bdd/cudd/cuddAddFind.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', + inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: -> ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c -o src/bdd/cudd/cuddAddInv.o +src/aig/ivy/ivyFastMap.c:486:25: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] + 486 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); + | ~~~~~~~~~~~~~~~~^ +src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': +src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' + 52 | int pArray[0]; // the support nodes + | ^~~~~~ +In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', + inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: +src/aig/ivy/ivyFastMap.c:494:25: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] + 494 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); + | ~~~~~~~~~~~~~~~~^ +src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': +src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' + 52 | int pArray[0]; // the support nodes + | ^~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddIte.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c -o src/bdd/cudd/cuddAddIte.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddNeg.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c -o src/bdd/cudd/cuddAddNeg.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddWalsh.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c -o src/bdd/cudd/cuddAddWalsh.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAndAbs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c -o src/bdd/cudd/cuddAndAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddApa.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -o src/bdd/cudd/cuddApa.o -> ABC: `` Compiling: /src/bdd/cudd/cuddAnneal.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c -o src/bdd/cudd/cuddAnneal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/cudd/cuddApa.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -o src/bdd/cudd/cuddApa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddApprox.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c -o src/bdd/cudd/cuddApprox.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddAbs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c -o src/bdd/cudd/cuddBddAbs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddCorr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c -o src/bdd/cudd/cuddBddCorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddIte.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c -o src/bdd/cudd/cuddBddIte.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBridge.c @@ -27350,8 +27342,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCache.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c -o src/bdd/cudd/cuddCache.o --> ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -o src/bdd/cudd/cuddCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddClip.c @@ -27362,10 +27354,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -o src/bdd/cudd/cuddCompose.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddDecomp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c -o src/bdd/cudd/cuddDecomp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -o src/bdd/cudd/cuddEssent.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27377,10 +27369,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGenCof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c -o src/bdd/cudd/cuddGenCof.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGenetic.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c -o src/bdd/cudd/cuddGenetic.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGroup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c -o src/bdd/cudd/cuddGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27391,12 +27383,12 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c -o src/bdd/cudd/cuddInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddInteract.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c -o src/bdd/cudd/cuddInteract.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLCache.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c -o src/bdd/cudd/cuddInteract.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c -o src/bdd/cudd/cuddLCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLevelQ.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c -o src/bdd/cudd/cuddLevelQ.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLinear.c @@ -27407,40 +27399,40 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddMatMult.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c -o src/bdd/cudd/cuddMatMult.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddPriority.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c -o src/bdd/cudd/cuddPriority.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c -o src/bdd/cudd/cuddRead.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddRef.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c -o src/bdd/cudd/cuddRef.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddReorder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c -o src/bdd/cudd/cuddReorder.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c -o src/bdd/cudd/cuddSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSign.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c -o src/bdd/cudd/cuddSign.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSolve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c -o src/bdd/cudd/cuddSolve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSplit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c -o src/bdd/cudd/cuddSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetHB.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c -o src/bdd/cudd/cuddSubsetHB.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetSP.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c -o src/bdd/cudd/cuddSubsetHB.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c -o src/bdd/cudd/cuddSubsetSP.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -o src/bdd/cudd/cuddSymmetry.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c -o src/bdd/cudd/cuddTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27452,65 +27444,61 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -o src/bdd/cudd/cuddZddGroup.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddIsop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c -o src/bdd/cudd/cuddZddIsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -kernel/register.cc: In function '__ct_base .constprop': -kernel/register.cc:782:9: note: variable tracking size limit exceeded with '-fvar-tracking-assignments', retrying without - 782 | CellHelpMessages() { - | ^ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddLin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c -o src/bdd/cudd/cuddZddLin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddMisc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c -o src/bdd/cudd/cuddZddMisc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddPort.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c -o src/bdd/cudd/cuddZddMisc.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c -o src/bdd/cudd/cuddZddPort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddReord.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c -o src/bdd/cudd/cuddZddReord.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddSetop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c -o src/bdd/cudd/cuddZddSetop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddSymm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c -o src/bdd/cudd/cuddZddSymm.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c -o src/bdd/cudd/cuddZddUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddAuto.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c -o src/bdd/extrab/extraBddAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddCas.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c -o src/bdd/extrab/extraBddCas.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddImage.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c -o src/bdd/extrab/extraBddImage.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddKmap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c -o src/bdd/extrab/extraBddKmap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddMaxMin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c -o src/bdd/extrab/extraBddMaxMin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddMisc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c -o src/bdd/extrab/extraBddMisc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddSet.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c -o src/bdd/extrab/extraBddMisc.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c -o src/bdd/extrab/extraBddSet.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddSymm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c -o src/bdd/extrab/extraBddSymm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddThresh.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c -o src/bdd/extrab/extraBddThresh.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27525,19 +27513,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c -o src/bdd/dsd/dsdCheck.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdLocal.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c -o src/bdd/dsd/dsdLocal.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c -o src/bdd/dsd/dsdMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdProc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c -o src/bdd/dsd/dsdProc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c -o src/bdd/dsd/dsdProc.o -> ABC: `` Compiling: /src/bdd/dsd/dsdTree.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c -o src/bdd/dsd/dsdTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/epd/epd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c -o src/bdd/epd/epd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27546,73 +27534,73 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/mtr/mtrGroup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c -o src/bdd/mtr/mtrGroup.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c -o src/bdd/reo/reoApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c -o src/bdd/reo/reoCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoProfile.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -o src/bdd/reo/reoProfile.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoShuffle.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c -o src/bdd/reo/reoShuffle.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoSift.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c -o src/bdd/reo/reoSift.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoSwap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c -o src/bdd/reo/reoSwap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoTransfer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -o src/bdd/reo/reoTransfer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoUnits.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c -o src/bdd/reo/reoUnits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cas/casCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c -o src/bdd/cas/casCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cas/casDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c -o src/bdd/cas/casDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrCex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c -o src/bdd/bbr/bbrCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrImage.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c -o src/bdd/bbr/bbrImage.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrNtbdd.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c -o src/bdd/bbr/bbrNtbdd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c -o src/bdd/bbr/bbrNtbdd.o -> ABC: `` Compiling: /src/bdd/bbr/bbrReach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c -o src/bdd/bbr/bbrReach.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Cluster.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c -o src/bdd/llb/llb1Cluster.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Constr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c -o src/bdd/llb/llb1Constr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Core.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Group.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c -o src/bdd/llb/llb1Group.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Hint.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c -o src/bdd/llb/llb1Hint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Man.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c -o src/bdd/llb/llb1Man.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Matrix.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c -o src/bdd/llb/llb1Matrix.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Pivot.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c -o src/bdd/llb/llb1Pivot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Reach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c -o src/bdd/llb/llb1Reach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27621,15 +27609,39 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Bad.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c -o src/bdd/llb/llb2Bad.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Core.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c -o src/bdd/llb/llb2Core.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Driver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c -o src/bdd/llb/llb2Driver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb2Flow.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o -> ABC: `` Compiling: /src/bdd/llb/llb2Dump.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c -o src/bdd/llb/llb2Dump.o +-> ABC: `` Compiling: /src/bdd/llb/llb2Image.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb3Image.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o +-> ABC: `` Compiling: /src/bdd/llb/llb3Nonlin.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c -o src/bdd/llb/llb3Nonlin.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb4Cex.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c -o src/bdd/llb/llb4Cex.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c +-> ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o +-> ABC: `` Compiling: /src/bdd/llb/llb4Image.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -o src/bdd/llb/llb4Image.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/llb/llb2Dump.c: In function 'abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)': src/bdd/llb/llb2Dump.c:48:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] @@ -27653,30 +27665,29 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/bdd/llb/llb2Flow.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb2Image.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb3Image.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb3Nonlin.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c -o src/bdd/llb/llb3Nonlin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb4Cex.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c -o src/bdd/llb/llb4Cex.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb4Image.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -o src/bdd/llb/llb4Image.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, + from src/aig/gia/gia.h:34, + from src/aig/gia/giaSimBase.c:21: +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3596:24: +/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': +src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here + 3586 | word Entry; int i; + | ^~~~~ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3599:24: +/build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': +src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here + 3586 | word Entry; int i; + | ^~~~~ -> ABC: `` Building binary: abc g++ -o abc src/map/if/acd/ac_wrapper.o src/opt/rar/rewire_miaig.o src/sat/glucose/AbcGlucose.o src/sat/glucose/AbcGlucoseCmd.o src/sat/glucose/Glucose.o src/sat/glucose/Options.o src/sat/glucose/SimpSolver.o src/sat/glucose/System.o src/sat/glucose2/AbcGlucose2.o src/sat/glucose2/AbcGlucoseCmd2.o src/sat/glucose2/Glucose2.o src/sat/glucose2/Options2.o src/sat/glucose2/SimpSolver2.o src/sat/glucose2/System2.o src/aig/gia/giaRrr.o src/aig/gia/giaTransduction.o src/aig/gia/giaTtopt.o src/base/abc/abcAig.o src/base/abc/abcBarBuf.o src/base/abc/abcBlifMv.o src/base/abc/abcCheck.o src/base/abc/abcDfs.o src/base/abc/abcFanio.o src/base/abc/abcFanOrder.o src/base/abc/abcFunc.o src/base/abc/abcHie.o src/base/abc/abcHieCec.o src/base/abc/abcHieGia.o src/base/abc/abcHieNew.o src/base/abc/abcLatch.o src/base/abc/abcLib.o src/base/abc/abcMinBase.o src/base/abc/abcNames.o src/base/abc/abcNetlist.o src/base/abc/abcNtk.o src/base/abc/abcObj.o src/base/abc/abcRefs.o src/base/abc/abcShow.o src/base/abc/abcSop.o src/base/abc/abcUtil.o src/base/abci/abc.o src/base/abci/abcAttach.o src/base/abci/abcAuto.o src/base/abci/abcBalance.o src/base/abci/abcBidec.o src/base/abci/abcBm.o src/base/abci/abcBmc.o src/base/abci/abcCas.o src/base/abci/abcCascade.o src/base/abci/abcCollapse.o src/base/abci/abcCut.o src/base/abci/abcDar.o src/base/abci/abcDebug.o src/base/abci/abcDec.o src/base/abci/abcDetect.o src/base/abci/abcDress.o src/base/abci/abcDress2.o src/base/abci/abcDress3.o src/base/abci/abcDsd.o src/base/abci/abcEco.o src/base/abci/abcExact.o src/base/abci/abcExtract.o src/base/abci/abcFraig.o src/base/abci/abcFx.o src/base/abci/abcFxu.o src/base/abci/abcGen.o src/base/abci/abcHaig.o src/base/abci/abcIf.o src/base/abci/abcIfif.o src/base/abci/abcIfMux.o src/base/abci/abcIvy.o src/base/abci/abcLog.o src/base/abci/abcLut.o src/base/abci/abcLutmin.o src/base/abci/abcMap.o src/base/abci/abcMerge.o src/base/abci/abcMfs.o src/base/abci/abcMini.o src/base/abci/abcMiter.o src/base/abci/abcMulti.o src/base/abci/abcNtbdd.o src/base/abci/abcNpn.o src/base/abci/abcNpnSave.o src/base/abci/abcOrchestration.o src/base/abci/abcOdc.o src/base/abci/abcOrder.o src/base/abci/abcPart.o src/base/abci/abcPrint.o src/base/abci/abcProve.o src/base/abci/abcQbf.o src/base/abci/abcQuant.o src/base/abci/abcRec3.o src/base/abci/abcReconv.o src/base/abci/abcReach.o src/base/abci/abcRefactor.o src/base/abci/abcRenode.o src/base/abci/abcReorder.o src/base/abci/abcRestruct.o src/base/abci/abcResub.o src/base/abci/abcRewrite.o src/base/abci/abcRpo.o src/base/abci/abcRr.o src/base/abci/abcRunGen.o src/base/abci/abcSat.o src/base/abci/abcSaucy.o src/base/abci/abcScorr.o src/base/abci/abcSense.o src/base/abci/abcSpeedup.o src/base/abci/abcStrash.o src/base/abci/abcSweep.o src/base/abci/abcSymm.o src/base/abci/abcTim.o src/base/abci/abcTiming.o src/base/abci/abcUnate.o src/base/abci/abcUnreach.o src/base/abci/abcVerify.o src/base/abci/abcXsim.o src/base/cmd/cmd.o src/base/cmd/cmdAlias.o src/base/cmd/cmdApi.o src/base/cmd/cmdAuto.o src/base/cmd/cmdFlag.o src/base/cmd/cmdHist.o src/base/cmd/cmdLoad.o src/base/cmd/cmdPlugin.o src/base/cmd/cmdStarter.o src/base/cmd/cmdUtils.o src/base/io/io.o src/base/io/ioJson.o src/base/io/ioReadAiger.o src/base/io/ioReadBaf.o src/base/io/ioReadBblif.o src/base/io/ioReadBench.o src/base/io/ioReadBlif.o src/base/io/ioReadBlifAig.o src/base/io/ioReadBlifMv.o src/base/io/ioReadDsd.o src/base/io/ioReadEdif.o src/base/io/ioReadEqn.o src/base/io/ioReadPla.o src/base/io/ioReadPlaMo.o src/base/io/ioReadVerilog.o src/base/io/ioUtil.o src/base/io/ioWriteAiger.o src/base/io/ioWriteBaf.o src/base/io/ioWriteBblif.o src/base/io/ioWriteBench.o src/base/io/ioWriteBlif.o src/base/io/ioWriteBlifMv.o src/base/io/ioWriteBook.o src/base/io/ioWriteCnf.o src/base/io/ioWriteDot.o src/base/io/ioWriteEqn.o src/base/io/ioWriteEdgelist.o src/base/io/ioWriteGml.o src/base/io/ioWriteHMetis.o src/base/io/ioWriteList.o src/base/io/ioWritePla.o src/base/io/ioWriteVerilog.o src/base/io/ioWriteSmv.o src/base/main/main.o src/base/main/mainFrame.o src/base/main/mainInit.o src/base/main/mainLib.o src/base/main/mainReal.o src/base/main/libSupport.o src/base/main/mainUtils.o src/base/exor/exor.o src/base/exor/exorBits.o src/base/exor/exorCubes.o src/base/exor/exorLink.o src/base/exor/exorList.o src/base/exor/exorUtil.o src/base/ver/verCore.o src/base/ver/verFormula.o src/base/ver/verParse.o src/base/ver/verStream.o src/base/wlc/wlcAbs.o src/base/wlc/wlcAbs2.o src/base/wlc/wlcAbc.o src/base/wlc/wlcPth.o src/base/wlc/wlcBlast.o src/base/wlc/wlcCom.o src/base/wlc/wlcGraft.o src/base/wlc/wlcJson.o src/base/wlc/wlcMem.o src/base/wlc/wlcNdr.o src/base/wlc/wlcNtk.o src/base/wlc/wlcReadSmt.o src/base/wlc/wlcReadVer.o src/base/wlc/wlcSim.o src/base/wlc/wlcShow.o src/base/wlc/wlcStdin.o src/base/wlc/wlcUif.o src/base/wlc/wlcWin.o src/base/wlc/wlcWriteVer.o src/base/wln/wln.o src/base/wln/wlnBlast.o src/base/wln/wlnCom.o src/base/wln/wlnGuide.o src/base/wln/wlnMem.o src/base/wln/wlnNdr.o src/base/wln/wlnNtk.o src/base/wln/wlnObj.o src/base/wln/wlnRead.o src/base/wln/wlnRetime.o src/base/wln/wlnRtl.o src/base/wln/wlnWlc.o src/base/wln/wlnWriteVer.o src/base/acb/acbAbc.o src/base/acb/acbAig.o src/base/acb/acbCom.o src/base/acb/acbFunc.o src/base/acb/acbMfs.o src/base/acb/acbPush.o src/base/acb/acbSets.o src/base/acb/acbTest.o src/base/acb/acbUtil.o src/base/bac/bacBlast.o src/base/bac/bacBac.o src/base/bac/bacCom.o src/base/bac/bacLib.o src/base/bac/bacNtk.o src/base/bac/bacPrsBuild.o src/base/bac/bacPrsTrans.o src/base/bac/bacPtr.o src/base/bac/bacPtrAbc.o src/base/bac/bacReadBlif.o src/base/bac/bacReadSmt.o src/base/bac/bacReadVer.o src/base/bac/bacWriteBlif.o src/base/bac/bacWriteSmt.o src/base/bac/bacWriteVer.o src/base/cba/cbaBlast.o src/base/cba/cbaCba.o src/base/cba/cbaCom.o src/base/cba/cbaNtk.o src/base/cba/cbaReadBlif.o src/base/cba/cbaReadVer.o src/base/cba/cbaWriteBlif.o src/base/cba/cbaWriteVer.o src/base/pla/plaCom.o src/base/pla/plaHash.o src/base/pla/plaMan.o src/base/pla/plaMerge.o src/base/pla/plaSimple.o src/base/pla/plaRead.o src/base/pla/plaWrite.o src/base/test/test.o src/map/mapper/mapper.o src/map/mapper/mapperCanon.o src/map/mapper/mapperCore.o src/map/mapper/mapperCreate.o src/map/mapper/mapperCut.o src/map/mapper/mapperCutUtils.o src/map/mapper/mapperLib.o src/map/mapper/mapperMatch.o src/map/mapper/mapperRefs.o src/map/mapper/mapperSuper.o src/map/mapper/mapperSwitch.o src/map/mapper/mapperTable.o src/map/mapper/mapperTime.o src/map/mapper/mapperTree.o src/map/mapper/mapperTruth.o src/map/mapper/mapperUtils.o src/map/mapper/mapperVec.o src/map/mio/mio.o src/map/mio/mioApi.o src/map/mio/mioFunc.o src/map/mio/mioParse.o src/map/mio/mioRead.o src/map/mio/mioSop.o src/map/mio/mioUtils.o src/map/super/super.o src/map/super/superAnd.o src/map/super/superGate.o src/map/if/ifCom.o src/map/if/ifCache.o src/map/if/ifCore.o src/map/if/ifCut.o src/map/if/ifData2.o src/map/if/ifDec07.o src/map/if/ifDec08.o src/map/if/ifDec10.o src/map/if/ifDec16.o src/map/if/ifDec66.o src/map/if/ifDec75.o src/map/if/ifDelay.o src/map/if/ifDsd.o src/map/if/ifLibBox.o src/map/if/ifLibLut.o src/map/if/ifMan.o src/map/if/ifMap.o src/map/if/ifMatch2.o src/map/if/ifReduce.o src/map/if/ifSat.o src/map/if/ifSelect.o src/map/if/ifSeq.o src/map/if/ifTest.o src/map/if/ifTime.o src/map/if/ifTruth.o src/map/if/ifTune.o src/map/if/ifUtil.o src/map/amap/amapCore.o src/map/amap/amapGraph.o src/map/amap/amapLib.o src/map/amap/amapLiberty.o src/map/amap/amapMan.o src/map/amap/amapMatch.o src/map/amap/amapMerge.o src/map/amap/amapOutput.o src/map/amap/amapParse.o src/map/amap/amapPerm.o src/map/amap/amapRead.o src/map/amap/amapRule.o src/map/amap/amapUniq.o src/map/cov/covBuild.o src/map/cov/covCore.o src/map/cov/covMan.o src/map/cov/covMinEsop.o src/map/cov/covMinMan.o src/map/cov/covMinSop.o src/map/cov/covMinUtil.o src/map/scl/scl.o src/map/scl/sclBuffer.o src/map/scl/sclBufSize.o src/map/scl/sclDnsize.o src/map/scl/sclLiberty.o src/map/scl/sclLibScl.o src/map/scl/sclLibUtil.o src/map/scl/sclLoad.o src/map/scl/sclSize.o src/map/scl/sclUpsize.o src/map/scl/sclUtil.o src/map/mpm/mpmAbc.o src/map/mpm/mpmCore.o src/map/mpm/mpmDsd.o src/map/mpm/mpmGates.o src/map/mpm/mpmLib.o src/map/mpm/mpmMan.o src/map/mpm/mpmMap.o src/map/mpm/mpmMig.o src/map/mpm/mpmPre.o src/map/mpm/mpmTruth.o src/map/mpm/mpmUtil.o src/misc/extra/extraUtilBitMatrix.o src/misc/extra/extraUtilCanon.o src/misc/extra/extraUtilCfs.o src/misc/extra/extraUtilCube.o src/misc/extra/extraUtilDsd.o src/misc/extra/extraUtilEnum.o src/misc/extra/extraUtilFile.o src/misc/extra/extraUtilGen.o src/misc/extra/extraUtilMacc.o src/misc/extra/extraUtilMaj.o src/misc/extra/extraUtilMemory.o src/misc/extra/extraUtilMisc.o src/misc/extra/extraUtilMult.o src/misc/extra/extraUtilPath.o src/misc/extra/extraUtilPerm.o src/misc/extra/extraUtilProgress.o src/misc/extra/extraUtilReader.o src/misc/extra/extraUtilSupp.o src/misc/extra/extraUtilTruth.o src/misc/extra/extraUtilUtil.o src/misc/mvc/mvcApi.o src/misc/mvc/mvcCompare.o src/misc/mvc/mvcContain.o src/misc/mvc/mvcCover.o src/misc/mvc/mvcCube.o src/misc/mvc/mvcDivide.o src/misc/mvc/mvcDivisor.o src/misc/mvc/mvcList.o src/misc/mvc/mvcLits.o src/misc/mvc/mvcMan.o src/misc/mvc/mvcOpAlg.o src/misc/mvc/mvcOpBool.o src/misc/mvc/mvcPrint.o src/misc/mvc/mvcSort.o src/misc/mvc/mvcUtils.o src/misc/st/st.o src/misc/st/stmm.o src/misc/util/utilBridge.o src/misc/util/utilBSet.o src/misc/util/utilCex.o src/misc/util/utilColor.o src/misc/util/utilFile.o src/misc/util/utilIsop.o src/misc/util/utilNam.o src/misc/util/utilPth.o src/misc/util/utilSignal.o src/misc/util/utilSort.o src/misc/nm/nmApi.o src/misc/nm/nmTable.o src/misc/tim/timBox.o src/misc/tim/timDump.o src/misc/tim/timMan.o src/misc/tim/timTime.o src/misc/tim/timTrav.o src/misc/mem/mem.o src/misc/bar/bar.o src/misc/bbl/bblif.o src/misc/parse/parseEqn.o src/misc/parse/parseStack.o src/opt/cut/cutApi.o src/opt/cut/cutCut.o src/opt/cut/cutMan.o src/opt/cut/cutMerge.o src/opt/cut/cutNode.o src/opt/cut/cutOracle.o src/opt/cut/cutPre22.o src/opt/cut/cutSeq.o src/opt/cut/cutTruth.o src/opt/fxu/fxu.o src/opt/fxu/fxuCreate.o src/opt/fxu/fxuHeapD.o src/opt/fxu/fxuHeapS.o src/opt/fxu/fxuList.o src/opt/fxu/fxuMatrix.o src/opt/fxu/fxuPair.o src/opt/fxu/fxuPrint.o src/opt/fxu/fxuReduce.o src/opt/fxu/fxuSelect.o src/opt/fxu/fxuSingle.o src/opt/fxu/fxuUpdate.o src/opt/fxch/Fxch.o src/opt/fxch/FxchDiv.o src/opt/fxch/FxchMan.o src/opt/fxch/FxchSCHashTable.o src/opt/rwr/rwrDec.o src/opt/rwr/rwrEva.o src/opt/rwr/rwrExp.o src/opt/rwr/rwrLib.o src/opt/rwr/rwrMan.o src/opt/rwr/rwrPrint.o src/opt/rwr/rwrUtil.o src/opt/mfs/mfsCore.o src/opt/mfs/mfsDiv.o src/opt/mfs/mfsInter.o src/opt/mfs/mfsMan.o src/opt/mfs/mfsResub.o src/opt/mfs/mfsSat.o src/opt/mfs/mfsStrash.o src/opt/mfs/mfsWin.o src/opt/sim/simMan.o src/opt/sim/simSeq.o src/opt/sim/simSupp.o src/opt/sim/simSwitch.o src/opt/sim/simSym.o src/opt/sim/simSymSat.o src/opt/sim/simSymSim.o src/opt/sim/simSymStr.o src/opt/sim/simUtils.o src/opt/ret/retArea.o src/opt/ret/retCore.o src/opt/ret/retDelay.o src/opt/ret/retFlow.o src/opt/ret/retIncrem.o src/opt/ret/retInit.o src/opt/ret/retLvalue.o src/opt/fret/fretMain.o src/opt/fret/fretFlow.o src/opt/fret/fretInit.o src/opt/fret/fretTime.o src/opt/res/resCore.o src/opt/res/resDivs.o src/opt/res/resFilter.o src/opt/res/resSat.o src/opt/res/resSim.o src/opt/res/resStrash.o src/opt/res/resWin.o src/opt/lpk/lpkCore.o src/opt/lpk/lpkAbcDec.o src/opt/lpk/lpkAbcMux.o src/opt/lpk/lpkAbcDsd.o src/opt/lpk/lpkAbcUtil.o src/opt/lpk/lpkCut.o src/opt/lpk/lpkMan.o src/opt/lpk/lpkMap.o src/opt/lpk/lpkMulti.o src/opt/lpk/lpkMux.o src/opt/lpk/lpkSets.o src/opt/nwk/nwkAig.o src/opt/nwk/nwkCheck.o src/opt/nwk/nwkBidec.o src/opt/nwk/nwkDfs.o src/opt/nwk/nwkFanio.o src/opt/nwk/nwkFlow.o src/opt/nwk/nwkMan.o src/opt/nwk/nwkMap.o src/opt/nwk/nwkMerge.o src/opt/nwk/nwkObj.o src/opt/nwk/nwkSpeedup.o src/opt/nwk/nwkStrash.o src/opt/nwk/nwkTiming.o src/opt/nwk/nwkUtil.o src/opt/rwt/rwtDec.o src/opt/rwt/rwtMan.o src/opt/rwt/rwtUtil.o src/opt/rar/rewire_rng.o src/opt/rar/rewire_map.o src/opt/rar/rewire_rar.o src/opt/cgt/cgtAig.o src/opt/cgt/cgtCore.o src/opt/cgt/cgtDecide.o src/opt/cgt/cgtMan.o src/opt/cgt/cgtSat.o src/opt/csw/cswCore.o src/opt/csw/cswCut.o src/opt/csw/cswMan.o src/opt/csw/cswTable.o src/opt/dar/darBalance.o src/opt/dar/darCore.o src/opt/dar/darCut.o src/opt/dar/darData.o src/opt/dar/darLib.o src/opt/dar/darMan.o src/opt/dar/darPrec.o src/opt/dar/darRefact.o src/opt/dar/darScript.o src/opt/dau/dauCanon.o src/opt/dau/dauCore.o src/opt/dau/dauCount.o src/opt/dau/dauDivs.o src/opt/dau/dauDsd.o src/opt/dau/dauEnum.o src/opt/dau/dauGia.o src/opt/dau/dauMerge.o src/opt/dau/dauNonDsd.o src/opt/dau/dauNpn.o src/opt/dau/dauNpn2.o src/opt/dau/dauTree.o src/opt/dsc/dsc.o src/opt/sfm/sfmArea.o src/opt/sfm/sfmCnf.o src/opt/sfm/sfmCore.o src/opt/sfm/sfmDec.o src/opt/sfm/sfmLib.o src/opt/sfm/sfmNtk.o src/opt/sfm/sfmSat.o src/opt/sfm/sfmTim.o src/opt/sfm/sfmMit.o src/opt/sfm/sfmWin.o src/opt/sbd/sbd.o src/opt/sbd/sbdCnf.o src/opt/sbd/sbdCore.o src/opt/sbd/sbdCut.o src/opt/sbd/sbdCut2.o src/opt/sbd/sbdLut.o src/opt/sbd/sbdPath.o src/opt/sbd/sbdSat.o src/opt/sbd/sbdWin.o src/sat/bsat/satMem.o src/sat/bsat/satInter.o src/sat/bsat/satInterA.o src/sat/bsat/satInterB.o src/sat/bsat/satInterP.o src/sat/bsat/satProof.o src/sat/bsat/satSolver.o src/sat/bsat/satSolver2.o src/sat/bsat/satSolver2i.o src/sat/bsat/satSolver3.o src/sat/bsat/satStore.o src/sat/bsat/satTrace.o src/sat/bsat/satTruth.o src/sat/bsat/satUtil.o src/sat/xsat/xsatSolver.o src/sat/xsat/xsatSolverAPI.o src/sat/xsat/xsatCnfReader.o src/sat/satoko/solver.o src/sat/satoko/solver_api.o src/sat/satoko/cnf_reader.o src/sat/csat/csat_apis.o src/sat/msat/msatActivity.o src/sat/msat/msatClause.o src/sat/msat/msatClauseVec.o src/sat/msat/msatMem.o src/sat/msat/msatOrderH.o src/sat/msat/msatQueue.o src/sat/msat/msatRead.o src/sat/msat/msatSolverApi.o src/sat/msat/msatSolverCore.o src/sat/msat/msatSolverIo.o src/sat/msat/msatSolverSearch.o src/sat/msat/msatSort.o src/sat/msat/msatVec.o src/sat/cnf/cnfCore.o src/sat/cnf/cnfCut.o src/sat/cnf/cnfData.o src/sat/cnf/cnfFast.o src/sat/cnf/cnfMan.o src/sat/cnf/cnfMap.o src/sat/cnf/cnfPost.o src/sat/cnf/cnfUtil.o src/sat/cnf/cnfWrite.o src/sat/bmc/bmcBCore.o src/sat/bmc/bmcBmc.o src/sat/bmc/bmcBmc2.o src/sat/bmc/bmcBmc3.o src/sat/bmc/bmcBmcAnd.o src/sat/bmc/bmcBmci.o src/sat/bmc/bmcBmcG.o src/sat/bmc/bmcBmcS.o src/sat/bmc/bmcCexCare.o src/sat/bmc/bmcCexCut.o src/sat/bmc/bmcCexDepth.o src/sat/bmc/bmcCexMin1.o src/sat/bmc/bmcCexMin2.o src/sat/bmc/bmcCexTools.o src/sat/bmc/bmcChain.o src/sat/bmc/bmcClp.o src/sat/bmc/bmcEco.o src/sat/bmc/bmcExpand.o src/sat/bmc/bmcFault.o src/sat/bmc/bmcFx.o src/sat/bmc/bmcGen.o src/sat/bmc/bmcICheck.o src/sat/bmc/bmcInse.o src/sat/bmc/bmcLoad.o src/sat/bmc/bmcMaj.o src/sat/bmc/bmcMaj2.o src/sat/bmc/bmcMaj3.o src/sat/bmc/bmcMaxi.o src/sat/bmc/bmcMesh.o src/sat/bmc/bmcMesh2.o src/sat/bmc/bmcMulti.o src/sat/bmc/bmcUnroll.o src/sat/kissat/kissatSolver.o src/sat/kissat/kissatTest.o src/sat/kissat/allocate.o src/sat/kissat/analyze.o src/sat/kissat/ands.o src/sat/kissat/arena.o src/sat/kissat/assign.o src/sat/kissat/averages.o src/sat/kissat/backbone.o src/sat/kissat/backtrack.o src/sat/kissat/build.o src/sat/kissat/bump.o src/sat/kissat/check.o src/sat/kissat/classify.o src/sat/kissat/clause.o src/sat/kissat/collect.o src/sat/kissat/colors.o src/sat/kissat/compact.o src/sat/kissat/config.o src/sat/kissat/congruence.o src/sat/kissat/decide.o src/sat/kissat/deduce.o src/sat/kissat/definition.o src/sat/kissat/dense.o src/sat/kissat/dump.o src/sat/kissat/eliminate.o src/sat/kissat/equivalences.o src/sat/kissat/error.o src/sat/kissat/extend.o src/sat/kissat/factor.o src/sat/kissat/fastel.o src/sat/kissat/file.o src/sat/kissat/flags.o src/sat/kissat/format.o src/sat/kissat/forward.o src/sat/kissat/gates.o src/sat/kissat/heap.o src/sat/kissat/ifthenelse.o src/sat/kissat/import.o src/sat/kissat/internal.o src/sat/kissat/kimits.o src/sat/kissat/kitten.o src/sat/kissat/krite.o src/sat/kissat/learn.o src/sat/kissat/logging.o src/sat/kissat/kucky.o src/sat/kissat/minimize.o src/sat/kissat/mode.o src/sat/kissat/kptions.o src/sat/kissat/phases.o src/sat/kissat/preprocess.o src/sat/kissat/print.o src/sat/kissat/probe.o src/sat/kissat/profile.o src/sat/kissat/promote.o src/sat/kissat/proof.o src/sat/kissat/propbeyond.o src/sat/kissat/propdense.o src/sat/kissat/propinitially.o src/sat/kissat/proprobe.o src/sat/kissat/propsearch.o src/sat/kissat/queue.o src/sat/kissat/reduce.o src/sat/kissat/reluctant.o src/sat/kissat/reorder.o src/sat/kissat/rephase.o src/sat/kissat/report.o src/sat/kissat/resize.o src/sat/kissat/resolve.o src/sat/kissat/resources.o src/sat/kissat/restart.o src/sat/kissat/search.o src/sat/kissat/shrink.o src/sat/kissat/smooth.o src/sat/kissat/sort.o src/sat/kissat/stack.o src/sat/kissat/statistics.o src/sat/kissat/strengthen.o src/sat/kissat/substitute.o src/sat/kissat/sweep.o src/sat/kissat/terminate.o src/sat/kissat/tiers.o src/sat/kissat/trail.o src/sat/kissat/transitive.o src/sat/kissat/utilities.o src/sat/kissat/vector.o src/sat/kissat/vivify.o src/sat/kissat/walk.o src/sat/kissat/warmup.o src/sat/kissat/watch.o src/sat/kissat/weaken.o src/bool/bdc/bdcCore.o src/bool/bdc/bdcDec.o src/bool/bdc/bdcSpfd.o src/bool/bdc/bdcTable.o src/bool/dec/decAbc.o src/bool/dec/decFactor.o src/bool/dec/decMan.o src/bool/dec/decPrint.o src/bool/dec/decUtil.o src/bool/kit/kitAig.o src/bool/kit/kitBdd.o src/bool/kit/kitCloud.o src/bool/kit/cloud.o src/bool/kit/kitDsd.o src/bool/kit/kitFactor.o src/bool/kit/kitGraph.o src/bool/kit/kitHop.o src/bool/kit/kitIsop.o src/bool/kit/kitPla.o src/bool/kit/kitSop.o src/bool/kit/kitTruth.o src/bool/lucky/lucky.o src/bool/lucky/luckyFast16.o src/bool/lucky/luckyFast6.o src/bool/lucky/luckyRead.o src/bool/lucky/luckySimple.o src/bool/lucky/luckySwapIJ.o src/bool/lucky/luckySwap.o src/bool/rsb/rsbDec6.o src/bool/rsb/rsbMan.o src/bool/rpo/rpo.o src/proof/pdr/pdrCnf.o src/proof/pdr/pdrCore.o src/proof/pdr/pdrIncr.o src/proof/pdr/pdrInv.o src/proof/pdr/pdrMan.o src/proof/pdr/pdrSat.o src/proof/pdr/pdrTsim.o src/proof/pdr/pdrTsim2.o src/proof/pdr/pdrTsim3.o src/proof/pdr/pdrUtil.o src/proof/abs/absDup.o src/proof/abs/absGla.o src/proof/abs/absGlaOld.o src/proof/abs/absIter.o src/proof/abs/absOldCex.o src/proof/abs/absOldRef.o src/proof/abs/absOldSat.o src/proof/abs/absOldSim.o src/proof/abs/absOut.o src/proof/abs/absPth.o src/proof/abs/absRef.o src/proof/abs/absRefSelect.o src/proof/abs/absRpm.o src/proof/abs/absRpmOld.o src/proof/abs/absVta.o src/proof/abs/absUtil.o src/proof/live/liveness.o src/proof/live/liveness_sim.o src/proof/live/ltl_parser.o src/proof/live/kliveness.o src/proof/live/monotone.o src/proof/live/disjunctiveMonotone.o src/proof/live/arenaViolation.o src/proof/live/kLiveConstraints.o src/proof/live/combination.o src/proof/ssc/sscClass.o src/proof/ssc/sscCore.o src/proof/ssc/sscSat.o src/proof/ssc/sscSim.o src/proof/ssc/sscUtil.o src/proof/int/intCheck.o src/proof/int/intContain.o src/proof/int/intCore.o src/proof/int/intCtrex.o src/proof/int/intDup.o src/proof/int/intFrames.o src/proof/int/intInter.o src/proof/int/intM114.o src/proof/int/intMan.o src/proof/int/intUtil.o src/proof/cec/cecCec.o src/proof/cec/cecChoice.o src/proof/cec/cecClass.o src/proof/cec/cecCore.o src/proof/cec/cecCorr.o src/proof/cec/cecIso.o src/proof/cec/cecMan.o src/proof/cec/cecPat.o src/proof/cec/cecProve.o src/proof/cec/cecSat.o src/proof/cec/cecSatG.o src/proof/cec/cecSatG2.o src/proof/cec/cecSatG3.o src/proof/cec/cecSeq.o src/proof/cec/cecSim.o src/proof/cec/cecSolve.o src/proof/cec/cecSolveG.o src/proof/cec/cecSplit.o src/proof/cec/cecSynth.o src/proof/cec/cecSweep.o src/proof/acec/acecCl.o src/proof/acec/acecCore.o src/proof/acec/acecCo.o src/proof/acec/acecBo.o src/proof/acec/acecRe.o src/proof/acec/acecPa.o src/proof/acec/acecPo.o src/proof/acec/acecPool.o src/proof/acec/acecCover.o src/proof/acec/acecFadds.o src/proof/acec/acecMult.o src/proof/acec/acecNorm.o src/proof/acec/acecOrder.o src/proof/acec/acecPolyn.o src/proof/acec/acecSt.o src/proof/acec/acecTree.o src/proof/acec/acecUtil.o src/proof/acec/acec2Mult.o src/proof/acec/acecXor.o src/proof/dch/dchAig.o src/proof/dch/dchChoice.o src/proof/dch/dchClass.o src/proof/dch/dchCnf.o src/proof/dch/dchCore.o src/proof/dch/dchMan.o src/proof/dch/dchSat.o src/proof/dch/dchSim.o src/proof/dch/dchSimSat.o src/proof/dch/dchSweep.o src/proof/fraig/fraigApi.o src/proof/fraig/fraigCanon.o src/proof/fraig/fraigFanout.o src/proof/fraig/fraigFeed.o src/proof/fraig/fraigMan.o src/proof/fraig/fraigMem.o src/proof/fraig/fraigNode.o src/proof/fraig/fraigPrime.o src/proof/fraig/fraigSat.o src/proof/fraig/fraigTable.o src/proof/fraig/fraigUtil.o src/proof/fraig/fraigVec.o src/proof/fra/fraBmc.o src/proof/fra/fraCec.o src/proof/fra/fraClass.o src/proof/fra/fraClau.o src/proof/fra/fraClaus.o src/proof/fra/fraCnf.o src/proof/fra/fraCore.o src/proof/fra/fraHot.o src/proof/fra/fraImp.o src/proof/fra/fraInd.o src/proof/fra/fraIndVer.o src/proof/fra/fraLcr.o src/proof/fra/fraMan.o src/proof/fra/fraPart.o src/proof/fra/fraSat.o src/proof/fra/fraSec.o src/proof/fra/fraSim.o src/proof/ssw/sswAig.o src/proof/ssw/sswBmc.o src/proof/ssw/sswClass.o src/proof/ssw/sswCnf.o src/proof/ssw/sswConstr.o src/proof/ssw/sswCore.o src/proof/ssw/sswDyn.o src/proof/ssw/sswFilter.o src/proof/ssw/sswIslands.o src/proof/ssw/sswLcorr.o src/proof/ssw/sswMan.o src/proof/ssw/sswPart.o src/proof/ssw/sswPairs.o src/proof/ssw/sswRarity.o src/proof/ssw/sswSat.o src/proof/ssw/sswSemi.o src/proof/ssw/sswSim.o src/proof/ssw/sswSimSat.o src/proof/ssw/sswSweep.o src/proof/ssw/sswUnique.o src/aig/aig/aigCheck.o src/aig/aig/aigCanon.o src/aig/aig/aigCuts.o src/aig/aig/aigDfs.o src/aig/aig/aigDup.o src/aig/aig/aigFanout.o src/aig/aig/aigFrames.o src/aig/aig/aigInter.o src/aig/aig/aigJust.o src/aig/aig/aigMan.o src/aig/aig/aigMem.o src/aig/aig/aigMffc.o src/aig/aig/aigObj.o src/aig/aig/aigOper.o src/aig/aig/aigOrder.o src/aig/aig/aigPack.o src/aig/aig/aigPart.o src/aig/aig/aigPartReg.o src/aig/aig/aigPartSat.o src/aig/aig/aigRepr.o src/aig/aig/aigRet.o src/aig/aig/aigRetF.o src/aig/aig/aigScl.o src/aig/aig/aigShow.o src/aig/aig/aigSplit.o src/aig/aig/aigTable.o src/aig/aig/aigTiming.o src/aig/aig/aigTruth.o src/aig/aig/aigTsim.o src/aig/aig/aigUtil.o src/aig/aig/aigWin.o src/aig/saig/saigCone.o src/aig/saig/saigConstr.o src/aig/saig/saigConstr2.o src/aig/saig/saigDual.o src/aig/saig/saigDup.o src/aig/saig/saigInd.o src/aig/saig/saigIoa.o src/aig/saig/saigIso.o src/aig/saig/saigIsoFast.o src/aig/saig/saigIsoSlow.o src/aig/saig/saigMiter.o src/aig/saig/saigOutDec.o src/aig/saig/saigPhase.o src/aig/saig/saigRetFwd.o src/aig/saig/saigRetMin.o src/aig/saig/saigRetStep.o src/aig/saig/saigScl.o src/aig/saig/saigSimFast.o src/aig/saig/saigSimMv.o src/aig/saig/saigSimSeq.o src/aig/saig/saigStrSim.o src/aig/saig/saigSwitch.o src/aig/saig/saigSynch.o src/aig/saig/saigTempor.o src/aig/saig/saigTrans.o src/aig/saig/saigWnd.o src/aig/gia/giaAig.o src/aig/gia/giaAgi.o src/aig/gia/giaAiger.o src/aig/gia/giaAigerExt.o src/aig/gia/giaBalAig.o src/aig/gia/giaBalLut.o src/aig/gia/giaBalMap.o src/aig/gia/giaBidec.o src/aig/gia/giaCCof.o src/aig/gia/giaCex.o src/aig/gia/giaClp.o src/aig/gia/giaCof.o src/aig/gia/giaCone.o src/aig/gia/giaCSatOld.o src/aig/gia/giaCSat.o src/aig/gia/giaCSat2.o src/aig/gia/giaCSat3.o src/aig/gia/giaCSatP.o src/aig/gia/giaCTas.o src/aig/gia/giaCut.o src/aig/gia/giaDecs.o src/aig/gia/giaDeep.o src/aig/gia/giaDfs.o src/aig/gia/giaDup.o src/aig/gia/giaEdge.o src/aig/gia/giaEmbed.o src/aig/gia/giaEnable.o src/aig/gia/giaEquiv.o src/aig/gia/giaEra.o src/aig/gia/giaEra2.o src/aig/gia/giaEsop.o src/aig/gia/giaExist.o src/aig/gia/giaFalse.o src/aig/gia/giaFanout.o src/aig/gia/giaForce.o src/aig/gia/giaFrames.o src/aig/gia/giaFront.o src/aig/gia/giaFx.o src/aig/gia/giaGen.o src/aig/gia/giaGig.o src/aig/gia/giaGlitch.o src/aig/gia/giaHash.o src/aig/gia/giaIf.o src/aig/gia/giaIff.o src/aig/gia/giaIiff.o src/aig/gia/giaIso.o src/aig/gia/giaIso2.o src/aig/gia/giaIso3.o src/aig/gia/giaJf.o src/aig/gia/giaKf.o src/aig/gia/giaLf.o src/aig/gia/giaMf.o src/aig/gia/giaMan.o src/aig/gia/giaMem.o src/aig/gia/giaMfs.o src/aig/gia/giaMini.o src/aig/gia/giaMinLut.o src/aig/gia/giaMinLut2.o src/aig/gia/giaMulFind.o src/aig/gia/giaMuxes.o src/aig/gia/giaNf.o src/aig/gia/giaOf.o src/aig/gia/giaPack.o src/aig/gia/giaPat.o src/aig/gia/giaPat2.o src/aig/gia/giaPf.o src/aig/gia/giaQbf.o src/aig/gia/giaReshape1.o src/aig/gia/giaReshape2.o src/aig/gia/giaResub.o src/aig/gia/giaResub2.o src/aig/gia/giaResub3.o src/aig/gia/giaResub6.o src/aig/gia/giaRetime.o src/aig/gia/giaRex.o src/aig/gia/giaSatEdge.o src/aig/gia/giaSatLE.o src/aig/gia/giaSatLut.o src/aig/gia/giaSatMap.o src/aig/gia/giaSatoko.o src/aig/gia/giaSatSyn.o src/aig/gia/giaSat3.o src/aig/gia/giaScl.o src/aig/gia/giaScript.o src/aig/gia/giaShow.o src/aig/gia/giaShrink.o src/aig/gia/giaShrink6.o src/aig/gia/giaShrink7.o src/aig/gia/giaSif.o src/aig/gia/giaSim.o src/aig/gia/giaSim2.o src/aig/gia/giaSimBase.o src/aig/gia/giaSort.o src/aig/gia/giaSpeedup.o src/aig/gia/giaSplit.o src/aig/gia/giaStg.o src/aig/gia/giaStoch.o src/aig/gia/giaStr.o src/aig/gia/giaSupMin.o src/aig/gia/giaSupp.o src/aig/gia/giaSupps.o src/aig/gia/giaSweep.o src/aig/gia/giaSweeper.o src/aig/gia/giaSwitch.o src/aig/gia/giaTim.o src/aig/gia/giaTis.o src/aig/gia/giaTranStoch.o src/aig/gia/giaTruth.o src/aig/gia/giaTsim.o src/aig/gia/giaUnate.o src/aig/gia/giaUtil.o src/aig/gia/giaBound.o src/aig/ioa/ioaReadAig.o src/aig/ioa/ioaWriteAig.o src/aig/ioa/ioaUtil.o src/aig/ivy/ivyBalance.o src/aig/ivy/ivyCanon.o src/aig/ivy/ivyCheck.o src/aig/ivy/ivyCut.o src/aig/ivy/ivyCutTrav.o src/aig/ivy/ivyDfs.o src/aig/ivy/ivyDsd.o src/aig/ivy/ivyFanout.o src/aig/ivy/ivyFastMap.o src/aig/ivy/ivyFraig.o src/aig/ivy/ivyHaig.o src/aig/ivy/ivyMan.o src/aig/ivy/ivyMem.o src/aig/ivy/ivyMulti.o src/aig/ivy/ivyObj.o src/aig/ivy/ivyOper.o src/aig/ivy/ivyResyn.o src/aig/ivy/ivyRwr.o src/aig/ivy/ivySeq.o src/aig/ivy/ivyShow.o src/aig/ivy/ivyTable.o src/aig/ivy/ivyUtil.o src/aig/hop/hopBalance.o src/aig/hop/hopCheck.o src/aig/hop/hopDfs.o src/aig/hop/hopMan.o src/aig/hop/hopMem.o src/aig/hop/hopObj.o src/aig/hop/hopOper.o src/aig/hop/hopTable.o src/aig/hop/hopTruth.o src/aig/hop/hopUtil.o src/bdd/cudd/cuddAPI.o src/bdd/cudd/cuddAddAbs.o src/bdd/cudd/cuddAddApply.o src/bdd/cudd/cuddAddFind.o src/bdd/cudd/cuddAddInv.o src/bdd/cudd/cuddAddIte.o src/bdd/cudd/cuddAddNeg.o src/bdd/cudd/cuddAddWalsh.o src/bdd/cudd/cuddAndAbs.o src/bdd/cudd/cuddAnneal.o src/bdd/cudd/cuddApa.o src/bdd/cudd/cuddApprox.o src/bdd/cudd/cuddBddAbs.o src/bdd/cudd/cuddBddCorr.o src/bdd/cudd/cuddBddIte.o src/bdd/cudd/cuddBridge.o src/bdd/cudd/cuddCache.o src/bdd/cudd/cuddCheck.o src/bdd/cudd/cuddClip.o src/bdd/cudd/cuddCof.o src/bdd/cudd/cuddCompose.o src/bdd/cudd/cuddDecomp.o src/bdd/cudd/cuddEssent.o src/bdd/cudd/cuddExact.o src/bdd/cudd/cuddExport.o src/bdd/cudd/cuddGenCof.o src/bdd/cudd/cuddGenetic.o src/bdd/cudd/cuddGroup.o src/bdd/cudd/cuddHarwell.o src/bdd/cudd/cuddInit.o src/bdd/cudd/cuddInteract.o src/bdd/cudd/cuddLCache.o src/bdd/cudd/cuddLevelQ.o src/bdd/cudd/cuddLinear.o src/bdd/cudd/cuddLiteral.o src/bdd/cudd/cuddMatMult.o src/bdd/cudd/cuddPriority.o src/bdd/cudd/cuddRead.o src/bdd/cudd/cuddRef.o src/bdd/cudd/cuddReorder.o src/bdd/cudd/cuddSat.o src/bdd/cudd/cuddSign.o src/bdd/cudd/cuddSolve.o src/bdd/cudd/cuddSplit.o src/bdd/cudd/cuddSubsetHB.o src/bdd/cudd/cuddSubsetSP.o src/bdd/cudd/cuddSymmetry.o src/bdd/cudd/cuddTable.o src/bdd/cudd/cuddUtil.o src/bdd/cudd/cuddWindow.o src/bdd/cudd/cuddZddCount.o src/bdd/cudd/cuddZddFuncs.o src/bdd/cudd/cuddZddGroup.o src/bdd/cudd/cuddZddIsop.o src/bdd/cudd/cuddZddLin.o src/bdd/cudd/cuddZddMisc.o src/bdd/cudd/cuddZddPort.o src/bdd/cudd/cuddZddReord.o src/bdd/cudd/cuddZddSetop.o src/bdd/cudd/cuddZddSymm.o src/bdd/cudd/cuddZddUtil.o src/bdd/extrab/extraBddAuto.o src/bdd/extrab/extraBddCas.o src/bdd/extrab/extraBddImage.o src/bdd/extrab/extraBddKmap.o src/bdd/extrab/extraBddMaxMin.o src/bdd/extrab/extraBddMisc.o src/bdd/extrab/extraBddSet.o src/bdd/extrab/extraBddSymm.o src/bdd/extrab/extraBddThresh.o src/bdd/extrab/extraBddTime.o src/bdd/extrab/extraBddUnate.o src/bdd/dsd/dsdApi.o src/bdd/dsd/dsdCheck.o src/bdd/dsd/dsdLocal.o src/bdd/dsd/dsdMan.o src/bdd/dsd/dsdProc.o src/bdd/dsd/dsdTree.o src/bdd/epd/epd.o src/bdd/mtr/mtrBasic.o src/bdd/mtr/mtrGroup.o src/bdd/reo/reoApi.o src/bdd/reo/reoCore.o src/bdd/reo/reoProfile.o src/bdd/reo/reoShuffle.o src/bdd/reo/reoSift.o src/bdd/reo/reoSwap.o src/bdd/reo/reoTransfer.o src/bdd/reo/reoUnits.o src/bdd/cas/casCore.o src/bdd/cas/casDec.o src/bdd/bbr/bbrCex.o src/bdd/bbr/bbrImage.o src/bdd/bbr/bbrNtbdd.o src/bdd/bbr/bbrReach.o src/bdd/llb/llb1Cluster.o src/bdd/llb/llb1Constr.o src/bdd/llb/llb1Core.o src/bdd/llb/llb1Group.o src/bdd/llb/llb1Hint.o src/bdd/llb/llb1Man.o src/bdd/llb/llb1Matrix.o src/bdd/llb/llb1Pivot.o src/bdd/llb/llb1Reach.o src/bdd/llb/llb1Sched.o src/bdd/llb/llb2Bad.o src/bdd/llb/llb2Core.o src/bdd/llb/llb2Driver.o src/bdd/llb/llb2Dump.o src/bdd/llb/llb2Flow.o src/bdd/llb/llb2Image.o src/bdd/llb/llb3Image.o src/bdd/llb/llb3Nonlin.o src/bdd/llb/llb4Cex.o src/bdd/llb/llb4Image.o src/bdd/llb/llb4Nonlin.o src/bdd/llb/llb4Sweep.o -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -lreadline -lpthread -lm -ldl -lrt -lbz2 -lz -lstdc++ src/sat/glucose/Glucose.cpp:913:8: warning: type 'struct reduceDB_lt' violates the C++ One Definition Rule [-Wodr] @@ -27904,6 +27915,27 @@ 529 | int kissat_analyze (kissat *solver, clause *conflict) { | ^ src/sat/kissat/analyze.c:529:5: note: 'kissat_analyze' was previously declared here +g++ -o yosys -rdynamic kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/tclapi.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/cmds/wrapcell.o passes/cmds/setenv.o passes/cmds/abstract.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/opt/peepopt.o passes/pmgen/test_pmgen.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_dsp.o techlibs/ice40/ice40_wrapcarry.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/microchip/microchip_dsp.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_ioff.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/xilinx/xilinx_dsp.o techlibs/xilinx/xilinx_srl.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 +frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type 'union yyalloc' violates the C++ One Definition Rule [-Wodr] + 492 | union yyalloc + | ^ +frontends/verilog/verilog_parser.tab.cc:1164:7: note: a different type is defined in another translation unit + 1164 | union yyalloc + | ^ +frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field 'yyss_alloc' + 494 | yy_state_t yyss_alloc; + | ^ +frontends/verilog/verilog_parser.tab.cc:1166:14: note: a field of same name but different type is defined in another translation unit + 1166 | yy_state_t yyss_alloc; + | ^ +frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] + 126 | enum yysymbol_kind_t +frontends/verilog/verilog_parser.tab.cc:431: note: an enum with different value name is defined in another translation unit + 431 | enum yysymbol_kind_t +frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit + 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ +frontends/verilog/verilog_parser.tab.cc:437: note: mismatching definition + 437 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ In function 'Gia_ManTransferEquivs2', inlined from 'Abc_CommandAbc9Scorr' at src/base/abci/abc.c:39478:35: src/aig/gia/giaEquiv.c:2794:20: warning: argument 1 range [18446744071562067968, 18446744073709551615] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] @@ -27926,6 +27958,10 @@ | ^ make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/abc' cp abc/abc yosys-abc +kernel/register.cc: In function '__ct_base .constprop': +kernel/register.cc:782:9: note: variable tracking size limit exceeded with '-fvar-tracking-assignments', retrying without + 782 | CellHelpMessages() { + | ^ Build successful. @@ -27935,7 +27971,7 @@ debian/rules override_dh_auto_build-indep make[1]: Entering directory '/build/reproducible-path/yosys-0.51' dh_auto_build -- docs DOC_TARGET=latexpdf - make -j20 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf + make -j42 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf make[2]: Entering directory '/build/reproducible-path/yosys-0.51' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: @@ -27946,46 +27982,54 @@ mkdir -p docs/source/cmd ./yosys -p 'help -dump-cells-json docs/source/generated/cells.json' cp backends/functional/smtlib.cc docs/source/generated/functional/smtlib.cc -make -C docs gen diff -U 20 backends/functional/smtlib.cc backends/functional/smtlib_rosette.cc > docs/source/generated/functional/rosette.diff || exit 0 +make -C docs gen mkdir -p temp/docs/source/cmd PYTHONPATH=./share/python3 ./yosys --help > docs/source/generated/yosys || rm docs/source/generated/yosys PYTHONPATH=./share/python3 ./yosys-smtbmc --help > docs/source/generated/yosys-smtbmc || rm docs/source/generated/yosys-smtbmc PYTHONPATH=./share/python3 ./yosys-witness --help > docs/source/generated/yosys-witness || rm docs/source/generated/yosys-witness ./yosys-config --help 2> docs/source/generated/yosys-config cd temp && ./../yosys -p 'help -write-rst-command-reference-manual' >/dev/null -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs' -make examples ./yosys-filterlib --help 2> docs/source/generated/yosys-filterlib ./yosys-abc --help 2> docs/source/generated/yosys-abc +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs' +make examples make[4]: Entering directory '/build/reproducible-path/yosys-0.51/docs' make -C source/code_examples/extensions examples +make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' make -C source/code_examples/fifo examples -make[2]: [Makefile:1058: docs/source/generated/yosys-filterlib] Error 1 (ignored) make -C source/code_examples/intro examples make -C source/code_examples/macc examples +make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' +../../../../yosys fifo.ys -l fifo.out -Q -T make -C source/code_examples/opt examples -make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' +make[2]: [Makefile:1058: docs/source/generated/yosys-filterlib] Error 1 (ignored) +../../../../yosys fifo_map.ys make -C source/code_examples/scrambler examples make -C source/code_examples/selections examples make -C source/code_examples/show examples -make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' +make -C source/code_examples/stubnets examples make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' -../../../../yosys fifo.ys -l fifo.out -Q -T +make -C source/code_examples/synth_flow examples make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' +make -C source/code_examples/techmap examples make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' -../../../../yosys fifo_map.ys make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' ../../../../yosys sumprod.ys +make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' +make[5]: Nothing to be done for 'examples'. +make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' +make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' +../../../../yosys example_lscd.ys -l example.out -Q -T /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -27996,32 +28040,16 @@ -- Running command `help -dump-cells-json docs/source/generated/cells.json' -- -End of script. Logfile hash: 435b0c1a21, CPU: user 0.01s system 0.00s, MEM: 16.10 MB peak +End of script. Logfile hash: 435b0c1a21, CPU: user 0.01s system 0.00s, MEM: 15.02 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 100% 1x help (0 sec) -make -C source/code_examples/stubnets examples -make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' -../../../../yosys example_lscd.ys -l example.out -Q -T -make[2]: [Makefile:1058: docs/source/generated/yosys-config] Error 1 (ignored) -make -C source/code_examples/synth_flow examples -make -C source/code_examples/techmap examples -make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' -make[5]: Nothing to be done for 'examples'. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' -../../../../yosys-config --exec --cxx -g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I../../../../share/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER= --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs - --- Executing script file `fifo.ys' -- -$ yosys fifo.v - --- Parsing `fifo.v' using frontend ` -vlog2k' -- - -1. Executing Verilog-2005 frontend: fifo.v +../../../../yosys-config --exec --cxx -g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I../../../../share/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=@CXXFLAGS@.51 -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -28030,9 +28058,16 @@ \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) --- Executing script file `sumprod.ys' -- +-- Executing script file `fifo_map.ys' -- -1. Executing Verilog-2005 frontend: sumprod.v +1. Executing Verilog-2005 frontend: fifo.v + +-- Executing script file `fifo.ys' -- +$ yosys fifo.v + +-- Parsing `fifo.v' using frontend ` -vlog2k' -- + +1. Executing Verilog-2005 frontend: fifo.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -28041,9 +28076,9 @@ \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) --- Executing script file `fifo_map.ys' -- +-- Executing script file `sumprod.ys' -- -1. Executing Verilog-2005 frontend: fifo.v +1. Executing Verilog-2005 frontend: sumprod.v Parsing Verilog input from `fifo.v' to AST representation. Storing AST representation for module `$abstract\addr_gen'. Storing AST representation for module `$abstract\fifo'. @@ -28055,6 +28090,10 @@ 2. Executing HIERARCHY pass (managing design hierarchy). 3. Executing AST frontend in derive mode using pre-parsed AST for module `\addr_gen'. + +-- Executing script file `example_lscd.ys' -- + +1. Executing Verilog-2005 frontend: example.v Parsing Verilog input from `sumprod.v' to AST representation. Generating RTLIL representation for module `\sumprod'. Successfully finished Verilog frontend. @@ -28063,16 +28102,22 @@ 2.1. Executing HIERARCHY pass (managing design hierarchy). --- Executing script file `example_lscd.ys' -- - -1. Executing Verilog-2005 frontend: example.v - 2.1.1. Analyzing design hierarchy.. +Generating RTLIL representation for module `\addr_gen'. + +3.1. Analyzing design hierarchy.. Top module: \sumprod 2.1.2. Analyzing design hierarchy.. +Top module: \addr_gen + +3.2. Analyzing design hierarchy.. Top module: \sumprod Removed 0 unused modules. +Top module: \addr_gen +Removing unused module `$abstract\fifo'. +Removing unused module `$abstract\addr_gen'. +Removed 2 unused modules. 2.2. Executing PROC pass (convert processes to netlists). @@ -28087,20 +28132,10 @@ Promoted 0 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). -Generating RTLIL representation for module `\addr_gen'. - -3.1. Analyzing design hierarchy.. -Top module: \addr_gen - -3.2. Analyzing design hierarchy.. 2.2.5. Executing PROC_ARST pass (detect async resets in processes). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Top module: \addr_gen -Removing unused module `$abstract\fifo'. -Removing unused module `$abstract\addr_gen'. -Removed 2 unused modules. Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). @@ -28152,6 +28187,12 @@ yosys> show -format dot -prefix new_cells_show -notitle @new_cells 5. Generating Graphviz representation of design. +make[2]: [Makefile:1058: docs/source/generated/yosys-config] Error 1 (ignored) +Optimizing module sumprod. + +2.3. Executing FUTURE pass. +Writing dot description to `new_cells_show.dot'. +Dumping selected parts of module addr_gen to page 1. Parsing Verilog input from `fifo.v' to AST representation. Generating RTLIL representation for module `\addr_gen'. Generating RTLIL representation for module `\fifo'. @@ -28163,11 +28204,6 @@ yosys> stat 2. Printing statistics. -Optimizing module sumprod. -Writing dot description to `new_cells_show.dot'. -Dumping selected parts of module addr_gen to page 1. - -2.3. Executing FUTURE pass. yosys> show -color maroon3 @new_cells -color cornflowerblue p:* -notitle -format dot -prefix addr_gen_hier @@ -28311,61 +28347,9 @@ yosys> opt_expr 9. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `example.v' to AST representation. -Generating RTLIL representation for module `\example'. -Successfully finished Verilog frontend. -echo on - -yosys> ls - -1 modules: - example - -yosys> cd example - -yosys [example]> ls - -8 wires: - $0\y[1:0] - $add$example.v:5$2_Y - $ternary$example.v:5$3_Y - a - b - c - clk - y - -2 cells: - $add$example.v:5$2 - $ternary$example.v:5$3 - -1 processes: - $proc$example.v:3$1 - -yosys [example]> dump $2 - - - attribute \src "example.v:5.22-5.27" - cell $add $add$example.v:5$2 - parameter \Y_WIDTH 2 - parameter \B_WIDTH 1 - parameter \A_WIDTH 1 - parameter \B_SIGNED 0 - parameter \A_SIGNED 0 - connect \Y $add$example.v:5$2_Y - connect \B \b - connect \A \a - end - -yosys [example]> cd .. - -yosys> echo off -echo off Optimizing module sumprod. 2.7.2. Executing OPT_MERGE pass (detect identical cells). -Optimizing module addr_gen. - Finding identical cells in module `\sumprod'. Removed a total of 0 cells. @@ -28384,6 +28368,25 @@ Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \sumprod.. + +2.7.7. Executing OPT_EXPR pass (perform const folding). +Optimizing module addr_gen. + +Optimizing module sumprod. + +2.7.8. Finished OPT passes. (There is nothing left to do.) + +2.8. Executing WREDUCE pass (reducing word size of cells). + +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \sumprod.. + +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +2.11. Executing OPT pass (performing simple optimizations). + +2.11.1. Executing OPT_EXPR pass (perform const folding). yosys> clean Removed 0 unused cells and 5 unused wires. @@ -28393,10 +28396,9 @@ yosys> show -color cornflowerblue @new_cells -notitle -format dot -prefix addr_gen_clean 10. Generating Graphviz representation of design. -Finding unused cells or wires in module \sumprod.. +Optimizing module sumprod. -2.7.7. Executing OPT_EXPR pass (perform const folding). -make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' +2.11.2. Executing OPT_MERGE pass (detect identical cells). Writing dot description to `addr_gen_clean.dot'. Dumping module addr_gen to page 1. @@ -28405,20 +28407,44 @@ yosys> read_verilog fifo.v 11. Executing Verilog-2005 frontend: fifo.v -Optimizing module sumprod. +Finding identical cells in module `\sumprod'. +Removed a total of 0 cells. -2.7.8. Finished OPT passes. (There is nothing left to do.) +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \sumprod.. -2.8. Executing WREDUCE pass (reducing word size of cells). +2.11.4. Finished fast OPT passes. -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \sumprod.. +2.12. Printing statistics. -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +=== sumprod === -2.11. Executing OPT pass (performing simple optimizations). + Number of wires: 7 + Number of wire bits: 56 + Number of public wires: 5 + Number of public wire bits: 40 + Number of ports: 5 + Number of port bits: 40 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 4 + $add 2 + $mul 2 -2.11.1. Executing OPT_EXPR pass (perform const folding). +2.13. Executing CHECK pass (checking for obvious problems). +Checking module sumprod... +Found and reported 0 problems. + +3. Generating Graphviz representation of design. +Writing dot description to `sumprod_00.dot'. +Dumping selected parts of module sumprod to page 1. + +4. Generating Graphviz representation of design. +Writing dot description to `sumprod_01.dot'. +Dumping selected parts of module sumprod to page 1. + +5. Generating Graphviz representation of design. Parsing Verilog input from `fifo.v' to AST representation. Generating RTLIL representation for module `\addr_gen'. Generating RTLIL representation for module `\fifo'. @@ -28434,7 +28460,10 @@ Parameter \MAX_DATA = 256 12.2. Executing AST frontend in derive mode using pre-parsed AST for module `\addr_gen'. -Optimizing module sumprod. +Writing dot description to `sumprod_02.dot'. +Dumping selected parts of module sumprod to page 1. + +6. Generating Graphviz representation of design. Parameter \MAX_DATA = 256 Generating RTLIL representation for module `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000'. Parameter \MAX_DATA = 256 @@ -28445,8 +28474,6 @@ Used module: $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000 12.4. Analyzing design hierarchy.. - -2.11.2. Executing OPT_MERGE pass (detect identical cells). Top module: \fifo Used module: $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000 Removing unused module `\addr_gen'. @@ -28498,10 +28525,6 @@ yosys> proc_mux 13.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Finding identical cells in module `\sumprod'. -Removed a total of 0 cells. - -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Creating decoders for process `\fifo.$proc$fifo.v:0$33'. Creating decoders for process `\fifo.$proc$fifo.v:62$26'. 1/1: $0\count[8:0] @@ -28516,36 +28539,18 @@ yosys> proc_dlatch 13.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Finding unused cells or wires in module \sumprod.. +Writing dot description to `sumprod_03.dot'. +Dumping selected parts of module sumprod to page 1. + +7. Generating Graphviz representation of design. yosys> proc_dff 13.9. Executing PROC_DFF pass (convert process syncs to FFs). +Writing dot description to `sumprod_04.dot'. +Dumping selected parts of module sumprod to page 1. -2.11.4. Finished fast OPT passes. - -2.12. Printing statistics. - -=== sumprod === - - Number of wires: 7 - Number of wire bits: 56 - Number of public wires: 5 - Number of public wire bits: 40 - Number of ports: 5 - Number of port bits: 40 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 4 - $add 2 - $mul 2 - -2.13. Executing CHECK pass (checking for obvious problems). -Checking module sumprod... -Found and reported 0 problems. - -3. Generating Graphviz representation of design. +8. Generating Graphviz representation of design. Creating register for signal `\fifo.\count' using process `\fifo.$proc$fifo.v:62$26'. created $adff cell `$procdff$59' with positive edge clock and positive level reset. Creating register for signal `\fifo.\rdata' using process `\fifo.$proc$fifo.v:36$18'. @@ -28579,6 +28584,8 @@ yosys> opt_expr -keepdc 13.12. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `sumprod_05.dot'. +Dumping selected parts of module sumprod to page 1. Optimizing module fifo. Optimizing module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. @@ -28589,33 +28596,13 @@ yosys> show -color maroon3 c:fifo_reader -color cornflowerblue @new_cells -notitle -format dot -prefix rdata_proc o:rdata %ci* 14. Generating Graphviz representation of design. -Writing dot description to `sumprod_00.dot'. -Dumping selected parts of module sumprod to page 1. - -4. Generating Graphviz representation of design. Writing dot description to `rdata_proc.dot'. Dumping selected parts of module fifo to page 1. -Writing dot description to `sumprod_01.dot'. -Dumping selected parts of module sumprod to page 1. yosys> flatten 15. Executing FLATTEN pass (flatten design). - -5. Generating Graphviz representation of design. Deleting now unused module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. -Writing dot description to `sumprod_02.dot'. -Dumping selected parts of module sumprod to page 1. - -6. Generating Graphviz representation of design. -Writing dot description to `sumprod_03.dot'. -Dumping selected parts of module sumprod to page 1. - -7. Generating Graphviz representation of design. -Writing dot description to `sumprod_04.dot'. -Dumping selected parts of module sumprod to page 1. - -8. Generating Graphviz representation of design. yosys> clean @@ -28628,19 +28615,12 @@ yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_flat @rdata_path 16. Generating Graphviz representation of design. -Writing dot description to `sumprod_05.dot'. -Dumping selected parts of module sumprod to page 1. Writing dot description to `rdata_flat.dot'. Dumping selected parts of module fifo to page 1. yosys> opt_dff 17. Executing OPT_DFF pass (perform DFF optimizations). - -End of script. Logfile hash: ad287e9838, CPU: user 0.02s system 0.01s, MEM: 11.86 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 30% 6x show (0 sec), 22% 5x opt_expr (0 sec), ... -UC Berkeley, ABC 1.01 (compiled Mar 17 2025 23:00:57) Adding EN signal on $procdff$59 ($adff) from module fifo (D = $0\count[8:0], Q = \count). Adding EN signal on $flatten\fifo_writer.$procdff$66 ($adff) from module fifo (D = $flatten\fifo_writer.$procmux$53_Y, Q = \fifo_writer.addr). Adding EN signal on $flatten\fifo_reader.$procdff$66 ($adff) from module fifo (D = $flatten\fifo_reader.$procmux$53_Y, Q = \fifo_reader.addr). @@ -28656,7 +28636,7 @@ yosys> wreduce 19. Executing WREDUCE pass (reducing word size of cells). -make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' +UC Berkeley, ABC 1.01 (compiled Mar 17 2025 23:00:57) Removed top 31 bits (of 32) from port B of cell fifo.$add$fifo.v:66$29 ($add). Removed top 23 bits (of 32) from port Y of cell fifo.$add$fifo.v:66$29 ($add). Removed top 31 bits (of 32) from port B of cell fifo.$sub$fifo.v:68$32 ($sub). @@ -28675,13 +28655,13 @@ yosys> show -notitle -format dot -prefix rdata_wreduce o:rdata %ci* 20. Generating Graphviz representation of design. +make[2]: [Makefile:1058: docs/source/generated/yosys-abc] Error 1 (ignored) Writing dot description to `rdata_wreduce.dot'. Dumping selected parts of module fifo to page 1. yosys> opt_clean 21. Executing OPT_CLEAN pass (remove unused cells and wires). -cp -ru temp/docs/source/cmd docs/source Finding unused cells or wires in module \fifo.. Removed 0 unused cells and 5 unused wires. @@ -28689,7 +28669,6 @@ yosys> memory_dff 22. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -make[2]: [Makefile:1058: docs/source/generated/yosys-abc] Error 1 (ignored) Checking read port `\data'[0] in module `\fifo': merging output FF to cell. Write port 0: non-transparent. @@ -28740,7 +28719,6 @@ 27. Generating Graphviz representation of design. Writing dot description to `rdata_coarse.dot'. Dumping selected parts of module fifo to page 1. -rm -rf temp Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v' to AST representation. Generating RTLIL representation for module `\SB_IO'. Generating RTLIL representation for module `\SB_GB_IO'. @@ -29204,10 +29182,64 @@ 3.11.3. Executing FSM_OPT pass (simple optimizations of FSMs). 3.11.4. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `example.v' to AST representation. +Generating RTLIL representation for module `\example'. +Successfully finished Verilog frontend. +echo on + +yosys> ls + +1 modules: + example + +yosys> cd example + +yosys [example]> ls + +8 wires: + $0\y[1:0] + $add$example.v:5$2_Y + $ternary$example.v:5$3_Y + a + b + c + clk + y + +2 cells: + $add$example.v:5$2 + $ternary$example.v:5$3 + +1 processes: + $proc$example.v:3$1 + +yosys [example]> dump $2 + + + attribute \src "example.v:5.22-5.27" + cell $add $add$example.v:5$2 + parameter \Y_WIDTH 2 + parameter \B_WIDTH 1 + parameter \A_WIDTH 1 + parameter \B_SIGNED 0 + parameter \A_SIGNED 0 + connect \Y $add$example.v:5$2_Y + connect \B \b + connect \A \a + end + +yosys [example]> cd .. + +yosys> echo off +echo off Finding unused cells or wires in module \fifo.. 3.11.5. Executing FSM_OPT pass (simple optimizations of FSMs). +End of script. Logfile hash: ad287e9838, CPU: user 0.02s system 0.01s, MEM: 12.20 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 27% 6x show (0 sec), 22% 5x opt_expr (0 sec), ... + 3.11.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 3.11.7. Executing FSM_INFO pass (dumping all available information on FSM cells). @@ -29217,6 +29249,8 @@ 3.12. Executing OPT pass (performing simple optimizations). 3.12.1. Executing OPT_EXPR pass (perform const folding). +make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' +make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' Optimizing module fifo. 3.12.2. Executing OPT_MERGE pass (detect identical cells). @@ -29300,6 +29334,7 @@ 3.16. Executing SHARE pass (SAT-based resource sharing). +cp -ru temp/docs/source/cmd docs/source 3.17. Executing TECHMAP pass (map to technology primitives). @@ -29356,6 +29391,7 @@ Performed a total of 0 changes. 3.21.5. Executing OPT_MERGE pass (detect identical cells). +rm -rf temp Finding identical cells in module `\fifo'. Removed a total of 0 cells. @@ -30143,7 +30179,7 @@ ABC: Warning: AIG with boxes has internal fanout in 0 complex flops and 20 carries. ABC: + &write -n /output.aig ABC: + time -ABC: elapse: 0.03 seconds, total: 0.03 seconds +ABC: elapse: 0.12 seconds, total: 0.12 seconds 13.3.16.6. Executing AIGER frontend. @@ -30343,9 +30379,9 @@ yosys> echo off echo off -End of script. Logfile hash: 410712b625, CPU: user 1.99s system 0.06s, MEM: 30.25 MB peak +End of script. Logfile hash: b7c168f871, CPU: user 1.09s system 0.03s, MEM: 29.84 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 34% 21x read_verilog (0 sec), 30% 11x techmap (0 sec), ... +Time spent: 33% 21x read_verilog (0 sec), 28% 11x techmap (0 sec), ... make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' ../../../../yosys -QTl test0.log_new -m ./my_cmd.so -p 'my_cmd foo bar' -f verilog absval_ref.v ../../../../yosys -QTl test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' -f verilog absval_ref.v @@ -30354,6 +30390,14 @@ -- Parsing `absval_ref.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: absval_ref.v + +-- Parsing `absval_ref.v' using frontend `verilog' -- + +1. Executing Verilog-2005 frontend: absval_ref.v + +-- Parsing `sigmap_test.v' using frontend `verilog' -- + +1. Executing Verilog-2005 frontend: sigmap_test.v Parsing Verilog input from `absval_ref.v' to AST representation. Generating RTLIL representation for module `\absval_ref'. Successfully finished Verilog frontend. @@ -30365,15 +30409,6 @@ bar Modules in current design: absval_ref (4 wires, 2 cells) -mv test0.log_new test0.log - --- Parsing `absval_ref.v' using frontend `verilog' -- - -1. Executing Verilog-2005 frontend: absval_ref.v - --- Parsing `sigmap_test.v' using frontend `verilog' -- - -1. Executing Verilog-2005 frontend: sigmap_test.v Parsing Verilog input from `sigmap_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. @@ -30386,6 +30421,23 @@ Top module: \test 2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. +0 0 0 +1 1 1 +Mapped signal x: \a + +3. Doing important stuff! +Log message #0. +Log message #1. +Log message #2. +Log message #3. +Log message #4. +Log message #5. +Log message #6. +Log message #7. +Log message #8. +Log message #9. Parsing Verilog input from `absval_ref.v' to AST representation. Generating RTLIL representation for module `\absval_ref'. Successfully finished Verilog frontend. @@ -30452,25 +30504,9 @@ connect \Y \y end end -Top module: \test -Removed 0 unused modules. -0 0 0 -1 1 1 -Mapped signal x: \a - -3. Doing important stuff! -Log message #0. -Log message #1. -Log message #2. -Log message #3. -Log message #4. -Log message #5. -Log message #6. -Log message #7. -Log message #8. -Log message #9. -mv test1.log_new test1.log +mv test0.log_new test0.log mv test2.log_new test2.log +mv test1.log_new test1.log make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' make[4]: Leaving directory '/build/reproducible-path/yosys-0.51/docs' make images @@ -30484,188 +30520,41 @@ make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' ../../../../yosys counter.ys - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Running command `test1; show -format dot -prefix test1' -- -Name of this module: absval - -1. Generating Graphviz representation of design. -../../../../yosys counter.ys -Writing dot description to `test1.dot'. -Dumping module absval to page 1. - -End of script. Logfile hash: 2e5f50e91f, CPU: user 0.01s system 0.00s, MEM: 8.04 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 91% 1x show (0 sec), 8% 1x test1 (0 sec) -../../../../yosys counter.ys +make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' +../../../../yosys opt_share.ys ../../../../yosys counter.ys -make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' ../../../../yosys macc_simple_test.ys - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `counter.ys' -- - -1. Executing Verilog-2005 frontend: counter.v -Parsing Verilog input from `counter.v' to AST representation. -Generating RTLIL representation for module `\counter'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \counter - -2.2. Analyzing design hierarchy.. -Top module: \counter -Removed 0 unused modules. - -3. Generating Graphviz representation of design. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `counter.ys' -- - -1. Executing Verilog-2005 frontend: counter.v -../../../../yosys macc_xilinx_test.ys - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `counter.ys' -- - -1. Executing Verilog-2005 frontend: counter.v -Parsing Verilog input from `counter.v' to AST representation. -Generating RTLIL representation for module `\counter'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Parsing Verilog input from `counter.v' to AST representation. -Generating RTLIL representation for module `\counter'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). -Top module: \counter - -2.2. Analyzing design hierarchy.. -Top module: \counter -Removed 0 unused modules. - -3. Generating Graphviz representation of design. - -2.1. Analyzing design hierarchy.. -Top module: \counter - -2.2. Analyzing design hierarchy.. -Top module: \counter -Removed 0 unused modules. - -3. Generating Graphviz representation of design. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `macc_simple_test.ys' -- - -1. Executing Verilog-2005 frontend: macc_simple_test.v - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `macc_xilinx_test.ys' -- - -1. Executing Verilog-2005 frontend: macc_xilinx_test.v -Parsing Verilog input from `macc_simple_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' -../../../../yosys opt_share.ys -Parsing Verilog input from `macc_xilinx_test.v' to AST representation. -Generating RTLIL representation for module `\test1'. -Generating RTLIL representation for module `\test2'. -Successfully finished Verilog frontend. - -2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v -Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. -Generating RTLIL representation for module `$__mul_wrapper'. -Generating RTLIL representation for module `$__add_wrapper'. -Successfully finished Verilog frontend. - -3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v -../../../../yosys opt_muxtree.ys -Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. -Generating RTLIL representation for module `\DSP48_MACC'. -Successfully finished Verilog frontend. - -4. Executing HIERARCHY pass (managing design hierarchy). -Removed 0 unused cells and 1 unused wires. - -3. Generating Graphviz representation of design. - -3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -3.2. Continuing show pass. -../../../../yosys opt_merge.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' ../../../../yosys scrambler.ys -cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex approach_flow.tex --interaction=nonstopmode +../../../../yosys opt_muxtree.ys +../../../../yosys counter.ys +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_flow.tex --interaction=nonstopmode make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' ../../../../yosys select.ys +../../../../yosys scrambler.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' +../../../../yosys counter.ys ../../../../yosys -p 'prep -top splice_demo; show -format dot -prefix splice' splice.v -../../../../yosys opt_expr.ys +../../../../yosys opt_merge.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' -cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_rtlil.tex --interaction=nonstopmode make[6]: Nothing to be done for 'dots'. make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' -Removed 0 unused cells and 2 unused wires. - -5. Generating Graphviz representation of design. +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_ast.tex --interaction=nonstopmode +../../../../yosys opt_expr.ys +../../../../yosys example.ys +../../../../yosys macc_xilinx_test.ys +../../../../yosys memdemo.ys +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_abstractions.tex --interaction=nonstopmode +../../../../yosys example.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' ../../../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format dot' +../../../../yosys memdemo.ys +../../../../yosys example.ys +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex levels_of_abstraction.tex --interaction=nonstopmode +../../../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format dot' +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_parsetree.tex --interaction=nonstopmode +../../../../yosys submod.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' ../../../../yosys red_or3x1_test.ys @@ -30676,14 +30565,20 @@ \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) --- Executing script file `opt_share.ys' -- - -1. Executing Verilog-2005 frontend: < | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -2. Executing PREP pass. +-- Executing script file `example.ys' -- -2.1. Executing HIERARCHY pass (managing design hierarchy). -Parsing Verilog input from `red_or3x1_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +1. Executing Verilog-2005 frontend: example.v -2. Executing HIERARCHY pass (managing design hierarchy). + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -2.1. Analyzing design hierarchy.. +-- Executing script file `example.ys' -- + +1. Executing Verilog-2005 frontend: example.v Parsing Verilog input from `< | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -3.1. Executing Verilog-2005 frontend: red_or3x1_map.v +-- Executing script file `select.ys' -- -2.2. Executing AST frontend in derive mode using pre-parsed AST for module `\splice_demo'. -Parsing Verilog input from `select.v' to AST representation. -Generating RTLIL representation for module `\test'. +1. Executing Verilog-2005 frontend: select.v +Parsing Verilog input from `splice.v' to AST representation. +Storing AST representation for module `$abstract\splice_demo'. Successfully finished Verilog frontend. +-- Running command `prep -top splice_demo; show -format dot -prefix splice' -- + 2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). -2.1.1. Analyzing design hierarchy.. -Top module: \test - -2.1.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Parsing Verilog input from `scrambler.v' to AST representation. -Generating RTLIL representation for module `\scrambler'. +2.2. Executing AST frontend in derive mode using pre-parsed AST for module `\splice_demo'. +Parsing Verilog input from `< - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Parsing Verilog input from `red_or3x1_map.v' to AST representation. -Generating RTLIL representation for module `\$reduce_or'. +2. Executing OPT_MERGE pass (detect identical cells). +Parsing Verilog input from `example.v' to AST representation. +Generating RTLIL representation for module `\example'. Successfully finished Verilog frontend. -3.2. Continuing TECHMAP pass. - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. - 1/1: $1\xs[31:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Finding identical cells in module `\after'. - -Removed a total of 1 cells. -Removed 0 unused cells and 4 unused wires. +2. Generating Graphviz representation of design. -3. Generating Graphviz representation of design. -Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'. - created $dff cell `$procdff$8' with positive edge clock. -Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'. - created $dff cell `$procdff$9' with positive edge clock. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +-- Executing script file `memdemo.ys' -- -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$select.v:7$1'. -Cleaned up 0 empty switches. +1. Executing Verilog-2005 frontend: memdemo.v +Parsing Verilog input from `example.v' to AST representation. +Generating RTLIL representation for module `\example'. +Successfully finished Verilog frontend. -2.2.12. Executing OPT_EXPR pass (perform const folding). +2. Generating Graphviz representation of design. Generating RTLIL representation for module `\splice_demo'. 2.2.1. Analyzing design hierarchy.. - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). Top module: \splice_demo 2.2.2. Analyzing design hierarchy.. -Top module: \splice_demo -Removing unused module `$abstract\splice_demo'. -Removed 1 unused modules. - -2.3. Executing PROC pass (convert processes to netlists). -Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. - created $dff cell `$procdff$12' with positive edge clock. -Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. - created $dff cell `$procdff$13' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. -Removing empty process `scrambler.$proc$scrambler.v:6$1'. -Cleaned up 1 empty switch. - -3.12. Executing OPT_EXPR pass (perform const folding). - -2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Using template $paramod$53153ae57fdb25b25475408a64760d7986ecfb0e\$reduce_or for cells of type $reduce_or. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -30952,502 +30766,394 @@ \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) --- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format dot' -- +-- Executing script file `macc_xilinx_test.ys' -- --- Executing script file `proc_01.ys' -- +1. Executing Verilog-2005 frontend: macc_xilinx_test.v +Top module: \splice_demo +Removing unused module `$abstract\splice_demo'. +Removed 1 unused modules. +Parsing Verilog input from `scrambler.v' to AST representation. +Generating RTLIL representation for module `\scrambler'. +Successfully finished Verilog frontend. -1. Executing Verilog-2005 frontend: proc_01.v +2. Executing HIERARCHY pass (managing design hierarchy). + +2.3. Executing PROC pass (convert processes to netlists). + +2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Parsing Verilog input from `scrambler.v' to AST representation. +Generating RTLIL representation for module `\scrambler'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). Removed a total of 0 dead cases. 2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Using template $paramod$fe2472242c070f3b22e97f6c8c19c3569e575d97\$reduce_or for cells of type $reduce_or. + +3. Executing PROC pass (convert processes to netlists). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.3.4. Executing PROC_INIT pass (extract init attributes). -Optimizing module after. - 2.3.5. Executing PROC_ARST pass (detect async resets in processes). +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). + 2.3.6. Executing PROC_ROM pass (convert switches to ROMs). + +3. Executing PROC pass (convert processes to netlists). Converted 0 switches. 2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Optimizing module test. - -Parsing Verilog input from `proc_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. - -2.3. Executing FUTURE pass. -Top module: \test -Removed 0 unused modules. -Using template $paramod$1a3ccc6b2ad940e0a4ee2fb765a3adeb638fb7f0\$reduce_or for cells of type $reduce_or. -No more expansions possible. +Cleaned up 0 empty switches. -3. Executing PROC pass (convert processes to netlists). +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). + +2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test. +Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 0 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). -Found async reset \R in `\test.$proc$proc_01.v:2$1'. - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_01.v:2$1'. - 1/1: $0\Q[0:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.4. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `select.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Optimizing module scrambler. - +2. Executing PREP pass. +Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. +Removed a total of 0 dead cases. -2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). - -Removed 0 unused cells and 18 unused wires. +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -4. Executing SPLITNETS pass (splitting up multi-bit signals). -Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. - created $adff cell `$procdff$4' with positive edge clock and positive level reset. +2.1. Executing HIERARCHY pass (managing design hierarchy). -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2.1.1. Analyzing design hierarchy.. +Top module: \test -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +2.1.2. Analyzing design hierarchy.. +Removed 0 redundant assignments. +Promoted 2 assignments to connections. -5. Generating Graphviz representation of design. -Removing empty process `test.$proc$proc_01.v:2$1'. -Cleaned up 0 empty switches. +3.4. Executing PROC_INIT pass (extract init attributes). +Removed 0 redundant assignments. +Promoted 2 assignments to connections. -3.12. Executing OPT_EXPR pass (perform const folding). +3.4. Executing PROC_INIT pass (extract init attributes). +Top module: \test +Removed 0 unused modules. -5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v -Removed 0 unused cells and 4 unused wires. +3.5. Executing PROC_ARST pass (detect async resets in processes). -3. Generating Graphviz representation of design. -Optimizing module test. -Parsing Verilog input from `red_or3x1_cells.v' to AST representation. -Generating RTLIL representation for module `\OR3X1'. -Successfully finished Verilog frontend. +3.5. Executing PROC_ARST pass (detect async resets in processes). -5.2. Continuing show pass. +2.2. Executing PROC pass (convert processes to netlists). -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +3.6. Executing PROC_ROM pass (convert switches to ROMs). -2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +3.6. Executing PROC_ROM pass (convert switches to ROMs). Cleaned up 0 empty switches. -2.3.12. Executing OPT_EXPR pass (perform const folding). -Removed 0 unused cells and 5 unused wires. - -4. Executing SUBMOD pass (moving cells to submodules as requested). -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 6 unused wires. - +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -2.6. Executing CHECK pass (checking for obvious problems). -Optimizing module test. +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Converted 0 switches. -Optimizing module splice_demo. -Checking module test... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.4. Executing FUTURE pass. - -2.7.1. Executing OPT_EXPR pass (perform const folding). - -2.5. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -Removed 0 unused cells and 2 unused wires. - -4. Generating Graphviz representation of design. - -2.7.2. Executing OPT_MERGE pass (detect identical cells). - -4.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\test'. - -Removed a total of 1 cells. -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Converted 0 switches. -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -2.7.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module splice_demo. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -2.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \scrambler.. +-- Executing script file `red_or3x1_test.ys' -- -4.2. Continuing SUBMOD pass. -Creating submodule xorshift32 (\xorshift32) of module \scrambler. - signal $1\xs[31:0]: input \n1 - signal $0\xs[31:0]: output \n2 - signal $xor$scrambler.v:9$3_Y: internal - signal $shr$scrambler.v:10$4_Y: internal - signal $xor$scrambler.v:10$5_Y: internal - signal $shl$scrambler.v:11$6_Y: internal - cell $xor$scrambler.v:9$3 ($xor) - cell $xor$scrambler.v:11$7 ($xor) - cell $xor$scrambler.v:10$5 ($xor) -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 1 unused wires. +1. Executing Verilog-2005 frontend: red_or3x1_test.v +Removed 0 redundant assignments. +Promoted 2 assignments to connections. -5. Generating Graphviz representation of design. - +2.2.4. Executing PROC_INIT pass (extract init attributes). -2.7.7. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. +2.2.5. Executing PROC_ARST pass (detect async resets in processes). -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\test.$proc$select.v:7$1'. -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -2.7.11. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \splice_demo.. -Removed 0 unused cells and 2 unused wires. - +2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. + 1/1: $1\xs[31:0] -2.7. Executing CHECK pass (checking for obvious problems). -Finding identical cells in module `\test'. -Removed a total of 0 cells. +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. + 1/1: $1\xs[31:0] -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). -Checking module splice_demo... -Found and reported 0 problems. +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -2.8. Executing OPT pass (performing simple optimizations). + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -2.8.1. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \test.. +-- Executing script file `submod.ys' -- -2.7.13. Executing OPT_EXPR pass (perform const folding). -Optimizing module splice_demo. -Optimizing module test. +1. Executing Verilog-2005 frontend: memdemo.v -2.8.2. Executing OPT_MERGE pass (detect identical cells). + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -2.7.14. Finished OPT passes. (There is nothing left to do.) +-- Executing script file `submod.ys' -- -2.8. Executing WREDUCE pass (reducing word size of cells). +1. Executing Verilog-2005 frontend: memdemo.v +Parsing Verilog input from `red_or3x1_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\splice_demo'. -Removed a total of 0 cells. +2. Executing HIERARCHY pass (managing design hierarchy). -2.8.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \splice_demo.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2.1. Analyzing design hierarchy.. + Found cells that share an operand and can be merged by moving the $mux $ternary$< | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -2.11.1. Executing OPT_EXPR pass (perform const folding). -Finding identical cells in module `\splice_demo'. -Removed a total of 0 cells. +-- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format dot' -- -2.8.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module test. +-- Executing script file `proc_02.ys' -- -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. +1. Executing Verilog-2005 frontend: proc_02.v -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \splice_demo.. +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -2.8.7. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \test.. +3. Executing TECHMAP pass (map to technology primitives). -2.11.4. Finished fast OPT passes. +2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -2.12. Printing statistics. +2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -=== test === +2.3.12. Executing OPT_EXPR pass (perform const folding). - Number of wires: 9 - Number of wire bits: 114 - Number of public wires: 8 - Number of public wire bits: 98 - Number of ports: 4 - Number of port bits: 34 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 6 - $add 1 - $dff 2 - $mux 1 - $sub 1 - $xor 1 + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -2.13. Executing CHECK pass (checking for obvious problems). -Checking module test... -Found and reported 0 problems. -Optimizing module splice_demo. +-- Executing script file `submod.ys' -- -2.8.8. Finished OPT passes. (There is nothing left to do.) +1. Executing Verilog-2005 frontend: memdemo.v -2.9. Executing WREDUCE pass (reducing word size of cells). + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -2.10. Executing OPT_CLEAN pass (remove unused cells and wires). +-- Executing script file `submod.ys' -- -3. Generating Graphviz representation of design. -Finding unused cells or wires in module \splice_demo.. +1. Executing Verilog-2005 frontend: memdemo.v -2.11. Executing MEMORY_COLLECT pass (generating $mem cells). + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -2.12. Executing OPT pass (performing simple optimizations). +-- Executing script file `macc_simple_test.ys' -- -2.12.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module splice_demo. +1. Executing Verilog-2005 frontend: macc_simple_test.v -2.12.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\splice_demo'. -Removed a total of 0 cells. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -2.12.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \splice_demo.. +-- Executing script file `counter.ys' -- -2.12.4. Finished fast OPT passes. +1. Executing Verilog-2005 frontend: counter.v -2.13. Printing statistics. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -=== splice_demo === +-- Executing script file `counter.ys' -- - Number of wires: 8 - Number of wire bits: 26 - Number of public wires: 8 - Number of public wire bits: 26 - Number of ports: 8 - Number of port bits: 26 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 2 - $neg 1 - $not 1 +1. Executing Verilog-2005 frontend: counter.v -2.14. Executing CHECK pass (checking for obvious problems). -Checking module splice_demo... -Found and reported 0 problems. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -3. Generating Graphviz representation of design. -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -Writing dot description to `select.dot'. -Dumping module test to page 1. +-- Executing script file `counter.ys' -- -End of script. Logfile hash: f11d6793ac, CPU: user 0.03s system 0.00s, MEM: 12.20 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 30% 6x opt_expr (0 sec), 25% 5x opt_clean (0 sec), ... -Writing dot description to `splice.dot'. -Dumping module splice_demo to page 1. -Writing dot description to `red_or3x1.dot'. -Dumping module test to page 1. -Writing dot description to `macc_simple_test_00a.dot'. -Dumping module test to page 1. -Writing dot description to `proc_01.dot'. -Dumping module test to page 1. -Writing dot description to `scrambler_p01.dot'. -Dumping module scrambler to page 1. +1. Executing Verilog-2005 frontend: counter.v -4. Executing EXTRACT pass (map subcircuits to cells). + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -End of script. Logfile hash: d6e7304939, CPU: user 0.01s system 0.00s, MEM: 11.28 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 38% 1x techmap (0 sec), 22% 4x read_verilog (0 sec), ... +-- Executing script file `counter.ys' -- -4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Writing dot description to `opt_share_full.dot'. -Dumping module after to page 1. -Dumping module uut to page 2. -Writing dot description to `counter_00.dot'. -Dumping module counter to page 1. +1. Executing Verilog-2005 frontend: counter.v +Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'. + created $dff cell `$procdff$8' with positive edge clock. +Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'. + created $dff cell `$procdff$9' with positive edge clock. -4. Executing PROC pass (convert processes to netlists). +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `test.$proc$select.v:7$1'. Cleaned up 0 empty switches. -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). - -End of script. Logfile hash: 4fe5064e83, CPU: user 0.01s system 0.00s, MEM: 10.95 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 28% 1x opt_expr (0 sec), 19% 1x clean (0 sec), ... - -End of script. Logfile hash: 2eeb6955f1, CPU: user 0.02s system 0.01s, MEM: 12.02 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 34% 5x opt_expr (0 sec), 24% 4x opt_clean (0 sec), ... -Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. -Removed a total of 0 dead cases. - -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -4.4. Executing PROC_INIT pass (extract init attributes). +2.2.12. Executing OPT_EXPR pass (perform const folding). -4.5. Executing PROC_ARST pass (detect async resets in processes). +3.9. Executing PROC_DFF pass (convert process syncs to FFs). -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Parsing Verilog input from `proc_02.v' to AST representation. +Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. -4.2. Executing PROC pass (convert processes to netlists). -Converted 0 switches. - - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +2. Executing HIERARCHY pass (managing design hierarchy). +Parsing Verilog input from `macc_simple_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Writing dot description to `counter_00.dot'. -Dumping module counter to page 1. -Cleaned up 0 empty switches. +2. Executing HIERARCHY pass (managing design hierarchy). -4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +2.1. Analyzing design hierarchy.. -4.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +2.1. Analyzing design hierarchy.. +Top module: \test -End of script. Logfile hash: 7082042be4, CPU: user 0.00s system 0.01s, MEM: 9.88 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 43% 1x clean (0 sec), 35% 1x show (0 sec), ... -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. +Top module: \test -4.2.4. Executing PROC_INIT pass (extract init attributes). +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. -4.2.5. Executing PROC_ARST pass (detect async resets in processes). +3. Executing PROC pass (convert processes to netlists). -4.2.6. Executing PROC_ROM pass (convert switches to ROMs). +3.1. Executing Verilog-2005 frontend: red_or3x1_map.v +Parsing Verilog input from `macc_xilinx_test.v' to AST representation. +Generating RTLIL representation for module `\test1'. +Generating RTLIL representation for module `\test2'. +Successfully finished Verilog frontend. -4. Executing PROC pass (convert processes to netlists). +2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Converted 0 switches. +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -4.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\counter.$proc$counter.v:6$1'. - 1/1: $0\count[1:0] + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). +-- Executing script file `cmos.ys' -- -4.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +1. Executing Verilog-2005 frontend: cmos.v Cleaned up 0 empty switches. -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. -Removed a total of 0 dead cases. - -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -4.4. Executing PROC_INIT pass (extract init attributes). - -4.5. Executing PROC_ARST pass (detect async resets in processes). - -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -4.2.9. Executing PROC_DFF pass (convert process syncs to FFs). + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating decoders for process `\counter.$proc$counter.v:6$1'. - 1/1: $0\count[1:0] +-- Executing script file `cmos.ys' -- -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). +1. Executing Verilog-2005 frontend: cmos.v +Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. +Removed a total of 0 dead cases. -6. Generating Graphviz representation of design. -Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. - created $dff cell `$procdff$8' with positive edge clock. +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Parsing Verilog input from `counter.v' to AST representation. +Generating RTLIL representation for module `\counter'. +Successfully finished Verilog frontend. -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2. Executing HIERARCHY pass (managing design hierarchy). +Removed 1 redundant assignment. +Promoted 0 assignments to connections. -4.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +3.4. Executing PROC_INIT pass (extract init attributes). -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +3.5. Executing PROC_ARST pass (detect async resets in processes). -4.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +2.1. Analyzing design hierarchy.. +Top module: \counter -4.2.12. Executing OPT_EXPR pass (perform const folding). -Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. -Removing empty process `counter.$proc$counter.v:6$1'. -Cleaned up 2 empty switches. +2.2. Analyzing design hierarchy.. +Parsing Verilog input from `counter.v' to AST representation. +Generating RTLIL representation for module `\counter'. +Successfully finished Verilog frontend. -4.12. Executing OPT_EXPR pass (perform const folding). +2. Executing HIERARCHY pass (managing design hierarchy). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -31456,27 +31162,11 @@ \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) --- Executing script file `counter.ys' -- - -1. Executing Verilog-2005 frontend: counter.v -Writing dot description to `macc_xilinx_test1a.dot'. -Dumping module test1 to page 1. -Writing dot description to `opt_muxtree_full.dot'. -Dumping module after to page 1. -Dumping module uut to page 2. - -6. Generating Graphviz representation of design. - -End of script. Logfile hash: b6e098eb19, CPU: user 0.01s system 0.00s, MEM: 9.72 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 47% 1x clean (0 sec), 32% 1x show (0 sec), ... -Writing dot description to `scrambler_p02.dot'. -Dumping module xorshift32 to page 1. -../../../../yosys memdemo.ys -Writing dot description to `macc_xilinx_test2a.dot'. -Dumping module test2 to page 1. +-- Executing script file `opt_expr.ys' -- -7. Executing TECHMAP pass (map to technology primitives). +1. Executing Verilog-2005 frontend: < cd xorshift32 - -yosys [xorshift32]> rename n2 in -Renaming wire n2 to in in module xorshift32. - -yosys [xorshift32]> rename n1 out -Renaming wire n1 to out in module xorshift32. - -yosys [xorshift32]> eval -set in 1 -show out - -7. Executing EVAL pass (evaluate the circuit given an input). -../../../../yosys sym_mul_test.ys -Writing dot description to `opt_expr_full.dot'. -Dumping module after to page 1. -Dumping module uut to page 2. -Optimizing module macc_16_16_32. +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. + created $dff cell `$procdff$12' with positive edge clock. +Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. + created $dff cell `$procdff$13' with positive edge clock. -4.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Failed to evaluate signal \out: Missing value for \out. +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +Converted 0 switches. -yosys [xorshift32]> eval -set in 270369 -show out +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -8. Executing EVAL pass (evaluate the circuit given an input). +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. + created $dff cell `$procdff$12' with positive edge clock. +Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. + created $dff cell `$procdff$13' with positive edge clock. -End of script. Logfile hash: 1d1df32b64, CPU: user 0.01s system 0.00s, MEM: 9.48 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 42% 1x opt_expr (0 sec), 29% 1x clean (0 sec), ... -Using template $paramod$cb31b7e2c27e209b1e5fc8ca3c6ec22d65eb4c07\mul_swap_ports for cells of type $mul. -Failed to evaluate signal \out: Missing value for \out. +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -yosys [xorshift32]> sat -set out 632435482 +3. Generating Graphviz representation of design. +Top module: \counter -9. Executing SAT pass (solving SAT problems in the circuit). -Optimizing module counter. +2.2. Analyzing design hierarchy.. -5.2. Executing OPT_MERGE pass (detect identical cells). +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. +Removing empty process `scrambler.$proc$scrambler.v:6$1'. +Cleaned up 1 empty switch. -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -../../../../yosys example.ys -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. - +3.12. Executing OPT_EXPR pass (perform const folding). +Top module: \counter +Removed 0 unused modules. +Creating decoders for process `\test.$proc$proc_02.v:3$1'. + 1/1: $0\Q[0:0] -4.4. Creating graphs for SubCircuit library. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. +Removing empty process `scrambler.$proc$scrambler.v:6$1'. +Cleaned up 1 empty switch. -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. - created $dff cell `$procdff$8' with positive edge clock. +3.12. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `counter.v' to AST representation. +Generating RTLIL representation for module `\counter'. +Successfully finished Verilog frontend. -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2. Executing HIERARCHY pass (managing design hierarchy). -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. -Removing empty process `counter.$proc$counter.v:6$1'. -Cleaned up 2 empty switches. +3. Generating Graphviz representation of design. -4.12. Executing OPT_EXPR pass (perform const folding). -No more expansions possible. -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. +2.1. Analyzing design hierarchy.. +Parsing Verilog input from `cmos.v' to AST representation. +Generating RTLIL representation for module `\cmos_demo'. +Successfully finished Verilog frontend. -4.5. Running solver from SubCircuit library. -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +2. Executing PREP pass. +Top module: \counter -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +2.2. Analyzing design hierarchy.. -Setting up SAT problem: -Import set-constraint: \out = 632435482 -Final constraint equation: \out = 632435482 -Imported 3 cells to SAT database. +2.1. Executing HIERARCHY pass (managing design hierarchy). +Top module: \counter +Removed 0 unused modules. -Solving problem with 665 variables and 1735 clauses.. - Optimizing cells in module \counter. -Performed a total of 0 changes. +2.1.1. Analyzing design hierarchy.. +Top module: \cmos_demo -5.5. Executing OPT_MERGE pass (detect identical cells). -Solving for needle_macc_16_16_32 in haystack_test. -Found 1 matches. +2.1.2. Analyzing design hierarchy.. -4.6. Substitute SubCircuits with cells. -Optimizing module counter. +3. Generating Graphviz representation of design. +Top module: \cmos_demo +Removed 0 unused modules. -5. Executing OPT pass (performing simple optimizations). + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -5.1. Executing OPT_EXPR pass (perform const folding). +-- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format dot' -- -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$8 -gvpack -u -o opt_share.dot opt_share_full.dot - -Removed 0 unused cells and 8 unused wires. +-- Executing script file `proc_01.ys' -- -8. Generating Graphviz representation of design. -Removed 0 unused cells and 1 unused wires. +1. Executing Verilog-2005 frontend: proc_01.v -5. Generating Graphviz representation of design. -Optimizing module counter. +2.2. Executing PROC pass (convert processes to netlists). -5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -5.6. Executing OPT_DFF pass (perform DFF optimizations). -Writing dot description to `macc_xilinx_test1b.dot'. -Dumping module test1 to page 1. +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -9. Generating Graphviz representation of design. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2.2.4. Executing PROC_INIT pass (extract init attributes). -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +-- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format dot' -- -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +-- Executing script file `proc_03.ys' -- -5.6. Executing OPT_DFF pass (perform DFF optimizations). -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). +1. Executing Verilog-2005 frontend: proc_03.v -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). +2.2.5. Executing PROC_ARST pass (detect async resets in processes). -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Parsing Verilog input from `cmos.v' to AST representation. +Generating RTLIL representation for module `\cmos_demo'. Successfully finished Verilog frontend. -5.2. Continuing show pass. -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). -Optimizing module counter. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2. Executing PREP pass. -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -5.12. Executing OPT_MERGE pass (detect identical cells). +-- Executing script file `memdemo.ys' -- -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +1. Executing Verilog-2005 frontend: memdemo.v +Converted 0 switches. -5.13. Executing OPT_DFF pass (perform DFF optimizations). +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -5.15. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. +2.1. Executing HIERARCHY pass (managing design hierarchy). -5.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +2.1.1. Analyzing design hierarchy.. +Top module: \cmos_demo -5.16. Finished OPT passes. (There is nothing left to do.) +2.1.2. Analyzing design hierarchy.. +Top module: \cmos_demo +Removed 0 unused modules. -6. Executing MEMORY pass. +3.9. Executing PROC_DFF pass (convert process syncs to FFs). -6.1. Executing OPT_MEM pass (optimize memories). -Optimizing module counter. +2.2. Executing PROC pass (convert processes to netlists). -5.16. Finished OPT passes. (There is nothing left to do.) -Performed a total of 0 transformations. +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -6. Executing MEMORY pass. +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -6.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. +2.2.4. Executing PROC_INIT pass (extract init attributes). +Parsing Verilog input from `< +Removed a total of 1 cells. +Removed 0 unused cells and 4 unused wires. -7. Executing OPT pass (performing simple optimizations). +3. Generating Graphviz representation of design. -7.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found async reset \R in `\test.$proc$proc_01.v:2$1'. -7.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Removing empty process `test.$proc$proc_02.v:3$1'. +Cleaned up 0 empty switches. -7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +3.12. Executing OPT_EXPR pass (perform const folding). +Converted 0 switches. -7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -7.5. Executing OPT_MERGE pass (detect identical cells). -Optimizing module counter. +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating decoders for process `\test.$proc$proc_01.v:2$1'. + 1/1: $0\Q[0:0] -7.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Parsing Verilog input from `proc_03.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2. Executing HIERARCHY pass (managing design hierarchy). -7.6. Executing OPT_DFF pass (perform DFF optimizations). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2.1. Analyzing design hierarchy.. +Top module: \test -7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. -7.5. Executing OPT_MERGE pass (detect identical cells). +3. Executing PROC pass (convert processes to netlists). -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +3.9. Executing PROC_DFF pass (convert process syncs to FFs). -7.6. Executing OPT_DFF pass (perform DFF optimizations). +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Optimizing module test. + +Cleaned up 0 empty switches. -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -7.8. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -7.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +-- Executing script file `sym_mul_test.ys' -- -7.9. Finished OPT passes. (There is nothing left to do.) +1. Executing Verilog-2005 frontend: sym_mul_test.v -8. Executing FSM pass (extract and optimize FSM). -Optimizing module counter. +2.5. Executing OPT_EXPR pass (perform const folding). +Removed a total of 0 dead cases. -8.1. Executing FSM_DETECT pass (finding FSMs in design). +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -7.9. Finished OPT passes. (There is nothing left to do.) +2.3. Executing FUTURE pass. +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -8. Executing FSM pass (extract and optimize FSM). +3.4. Executing PROC_INIT pass (extract init attributes). -8.1. Executing FSM_DETECT pass (finding FSMs in design). +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -8.2. Executing FSM_EXTRACT pass (extracting FSM from design). +3.5. Executing PROC_ARST pass (detect async resets in processes). -8.2. Executing FSM_EXTRACT pass (extracting FSM from design). +3.6. Executing PROC_ROM pass (convert switches to ROMs). -8.3. Executing FSM_OPT pass (simple optimizations of FSMs). +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Parsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. +Successfully finished Verilog frontend. -8.4. Executing OPT_CLEAN pass (remove unused cells and wires). +2. Executing PREP pass. +Cleaned up 0 empty switches. -8.3. Executing FSM_OPT pass (simple optimizations of FSMs). +2.2.12. Executing OPT_EXPR pass (perform const folding). +Converted 0 switches. + -8.4. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. -Finding unused cells or wires in module \counter.. +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -8.5. Executing FSM_OPT pass (simple optimizations of FSMs). +2.1. Executing HIERARCHY pass (managing design hierarchy). -8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). +2.1.1. Analyzing design hierarchy.. +Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. + created $adff cell `$procdff$4' with positive edge clock and positive level reset. -8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Top module: \memdemo -9. Executing OPT pass (performing simple optimizations). +2.1.2. Analyzing design hierarchy.. +Removing empty process `test.$proc$proc_01.v:2$1'. +Cleaned up 0 empty switches. -9.1. Executing OPT_EXPR pass (perform const folding). +3.12. Executing OPT_EXPR pass (perform const folding). +Top module: \memdemo +Removed 0 unused modules. +Creating decoders for process `\test.$proc$proc_03.v:3$1'. + 1/1: $0\Y[0:0] -8.5. Executing FSM_OPT pass (simple optimizations of FSMs). +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). +2.2. Executing PROC pass (convert processes to netlists). -8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -9. Executing OPT pass (performing simple optimizations). +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 14 assignments to connections. -9.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. -Optimizing module counter. +2.2.4. Executing PROC_INIT pass (extract init attributes). +Optimizing module cmos_demo. -9.2. Executing OPT_MERGE pass (detect identical cells). +2.2.5. Executing PROC_ARST pass (detect async resets in processes). +Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. +Generating RTLIL representation for module `$__mul_wrapper'. +Generating RTLIL representation for module `$__add_wrapper'. +Successfully finished Verilog frontend. -9.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v -9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2.3. Executing FUTURE pass. +Converted 0 switches. -9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. -9.5. Executing OPT_MERGE pass (detect identical cells). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. -9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Parsing Verilog input from `sym_mul_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -9.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2. Executing HIERARCHY pass (managing design hierarchy). -9.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2.1. Analyzing design hierarchy.. +Top module: \test -9.6. Executing OPT_DFF pass (perform DFF optimizations). +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. -9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +3. Executing TECHMAP pass (map to technology primitives). +Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. +Generating RTLIL representation for module `\DSP48_MACC'. +Successfully finished Verilog frontend. -9.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. +4. Executing HIERARCHY pass (managing design hierarchy). +Parsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. +Successfully finished Verilog frontend. -9.8. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. +2. Executing PREP pass. -9.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +2.1. Executing HIERARCHY pass (managing design hierarchy). -9.9. Finished OPT passes. (There is nothing left to do.) +2.1.1. Analyzing design hierarchy.. +Top module: \memdemo -10. Generating Graphviz representation of design. -Optimizing module counter. +2.1.2. Analyzing design hierarchy.. -9.9. Finished OPT passes. (There is nothing left to do.) +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +Top module: \memdemo +Removed 0 unused modules. -10. Generating Graphviz representation of design. -Writing dot description to `macc_xilinx_test2b.dot'. -Dumping module test2 to page 1. -entering extended mode -(./overview_rtlil.texSAT solving finished - model found: +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. +Removing empty process `test.$proc$proc_03.v:3$1'. +Cleaned up 2 empty switches. - Signal Name Dec Hex Bin - --------------- ----------- --------- ----------------------------------- - \out 632435482 25b2331a 00100101101100100011001100011010 +3.12. Executing OPT_EXPR pass (perform const folding). -End of script. Logfile hash: c8ba6caa2d, CPU: user 0.02s system 0.01s, MEM: 13.13 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 24% 1x sat (0 sec), 15% 1x submod (0 sec), ... +2.2. Executing PROC pass (convert processes to netlists). - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. --- Executing script file `example.ys' -- +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -1. Executing Verilog-2005 frontend: example.v +2.4. Executing OPT_EXPR pass (perform const folding). +Removed a total of 0 dead cases. -10. Executing TECHMAP pass (map to technology primitives). -entering extended mode -(./approach_flow.texWriting dot description to `counter_01.dot'. -Dumping module counter to page 1. -../../../../yosys scrambler.ys -Writing dot description to `counter_01.dot'. -Dumping module counter to page 1. +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -11. Executing TECHMAP pass (map to technology primitives). -Parsing Verilog input from `example.v' to AST representation. -Generating RTLIL representation for module `\example'. +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Parsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. -2. Generating Graphviz representation of design. +2. Executing PREP pass. +Removed 0 redundant assignments. +Promoted 14 assignments to connections. -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v +2.2.4. Executing PROC_INIT pass (extract init attributes). -11. Executing TECHMAP pass (map to technology primitives). +2.1. Executing HIERARCHY pass (managing design hierarchy). -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v +2.2.5. Executing PROC_ARST pass (detect async resets in processes). -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsgvpack -u -o opt_muxtree.dot opt_muxtree_full.dot -../../../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format dot' +2.1.1. Analyzing design hierarchy.. +Optimizing module splice_demo. -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)gvpack -u -o opt_merge.dot opt_merge_full.dot +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. --- Executing script file `scrambler.ys' -- +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -1. Executing Verilog-2005 frontend: scrambler.v +2.4. Executing OPT_EXPR pass (perform const folding). +Top module: \memdemo -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styParsing Verilog input from `scrambler.v' to AST representation. -Generating RTLIL representation for module `\scrambler'. -Successfully finished Verilog frontend. +2.1.2. Analyzing design hierarchy.. +Using template $paramod$53153ae57fdb25b25475408a64760d7986ecfb0e\$reduce_or for cells of type $reduce_or. -2. Executing HIERARCHY pass (managing design hierarchy). +2.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Top module: \memdemo +Removed 0 unused modules. -3. Executing PROC pass (convert processes to netlists). +2.2. Executing PROC pass (convert processes to netlists). -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Optimizing module test. + Cleaned up 0 empty switches. -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). - -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styRemoved 0 redundant assignments. -Promoted 2 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. - 1/1: $1\xs[31:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -gvpack -u -o opt_expr.dot opt_expr_full.dot -Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. - created $dff cell `$procdff$12' with positive edge clock. -Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. - created $dff cell `$procdff$13' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 unused cells and 1 unused wires. -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. -Removing empty process `scrambler.$proc$scrambler.v:6$1'. -Cleaned up 1 empty switch. +3. Generating Graphviz representation of design. -3.12. Executing OPT_EXPR pass (perform const folding). +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Removed 0 redundant assignments. +Promoted 14 assignments to connections. -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)Optimizing module scrambler. +2.2.4. Executing PROC_INIT pass (extract init attributes). +Optimizing module scrambler. -) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.styRemoved 0 unused cells and 5 unused wires. - -4. Executing SUBMOD pass (moving cells to submodules as requested). - -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.texParsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -11.2. Continuing TECHMAP pass. - -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -)Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. -)) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.texUsing template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. - -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) -4.1. Executing OPT_CLEAN pass (remove unused cells and wires). -))Finding unused cells or wires in module \scrambler.. - -4.2. Continuing SUBMOD pass. - -10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v +Optimizing module scrambler. + +Using template $paramod$fe2472242c070f3b22e97f6c8c19c3569e575d97\$reduce_or for cells of type $reduce_or. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -32148,27 +31683,19 @@ \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) --- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format dot' -- +-- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format dot' -- --- Executing script file `proc_02.ys' -- +-- Executing script file `memory_01.ys' -- -1. Executing Verilog-2005 frontend: proc_02.v -Creating submodule xorshift32 (\xorshift32) of module \scrambler. - signal $1\xs[31:0]: input \n1 - signal $0\xs[31:0]: output \n2 - signal $xor$scrambler.v:9$3_Y: internal - signal $shr$scrambler.v:10$4_Y: internal - signal $xor$scrambler.v:10$5_Y: internal - signal $shl$scrambler.v:11$6_Y: internal - cell $xor$scrambler.v:9$3 ($xor) - cell $xor$scrambler.v:11$7 ($xor) - cell $xor$scrambler.v:10$5 ($xor) +1. Executing Verilog-2005 frontend: memory_01.v -5. Generating Graphviz representation of design. -Writing dot description to `counter_00.dot'. -Dumping module counter to page 1. -Writing dot description to `macc_simple_test_00b.dot'. -Dumping module test to page 1. +3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Optimizing module cmos_demo. +Parsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. +Successfully finished Verilog frontend. + +2. Executing PREP pass. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -32177,264 +31704,302 @@ \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) --- Executing script file `sym_mul_test.ys' -- +-- Executing script file `mymul_test.ys' -- -1. Executing Verilog-2005 frontend: sym_mul_test.v +1. Executing Verilog-2005 frontend: mymul_test.v - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +2.1. Executing HIERARCHY pass (managing design hierarchy). --- Executing script file `memdemo.ys' -- +2.1.1. Analyzing design hierarchy.. -1. Executing Verilog-2005 frontend: memdemo.v +2.3. Executing FUTURE pass. +Top module: \memdemo -6. Executing Verilog-2005 frontend: macc_simple_test_01.v -Writing dot description to `example_first.dot'. -Dumping module example to page 1. +2.1.2. Analyzing design hierarchy.. +Optimizing module cmos_demo. +Top module: \memdemo +Removed 0 unused modules. -3. Executing PROC pass (convert processes to netlists). +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$35' with positive edge clock. +Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$36' with positive edge clock. +Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$37' with positive edge clock. +Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$38' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$46' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$47' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$48' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$49' with positive edge clock. -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +2.2. Executing PROC pass (convert processes to netlists). + +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Optimizing module test. + Cleaned up 0 empty switches. -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). + +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Parsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. +Successfully finished Verilog frontend. + +2. Executing PREP pass. Removed a total of 0 dead cases. -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Optimizing module after. + -3.4. Executing PROC_INIT pass (extract init attributes). +2.1. Executing HIERARCHY pass (managing design hierarchy). +Removing empty process `memdemo.$proc$memdemo.v:11$7'. +Cleaned up 0 empty switches. -3.5. Executing PROC_ARST pass (detect async resets in processes). +2.2.12. Executing OPT_EXPR pass (perform const folding). -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - +3.1. Executing Verilog-2005 frontend: sym_mul_map.v -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +2.1.1. Analyzing design hierarchy.. +Removed 0 redundant assignments. +Promoted 14 assignments to connections. -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgCreating decoders for process `\example.$proc$example.v:3$1'. - 1/1: $0\y[1:0] +2.2.4. Executing PROC_INIT pass (extract init attributes). +Top module: \memdemo -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Warning: node n4 in graph[1] uut already defined -Parsing Verilog input from `sym_mul_test.v' to AST representation. +2.1.2. Analyzing design hierarchy.. +Parsing Verilog input from `mymul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). +2.2.5. Executing PROC_ARST pass (detect async resets in processes). +Top module: \memdemo +Removed 0 unused modules. + 2.1. Analyzing design hierarchy.. + +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Top module: \test 2.2. Analyzing design hierarchy.. +Converted 0 switches. -3.9. Executing PROC_DFF pass (convert process syncs to FFs). +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Top module: \test Removed 0 unused modules. +Using template $paramod$1a3ccc6b2ad940e0a4ee2fb765a3adeb638fb7f0\$reduce_or for cells of type $reduce_or. +No more expansions possible. +Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. -3. Executing TECHMAP pass (map to technology primitives). +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. - created $dff cell `$procdff$6' with positive edge clock. +2.2. Executing PROC pass (convert processes to netlists). -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +3. Executing TECHMAP pass (map to technology primitives). -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. -Removing empty process `example.$proc$example.v:3$1'. -Cleaned up 1 empty switch. +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -3.12. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_wrap'. -Generating RTLIL representation for module `\add_wrap'. -Successfully finished Verilog frontend. +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -10.2. Continuing TECHMAP pass. -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$35' with positive edge clock. +Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$36' with positive edge clock. +Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$37' with positive edge clock. +Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$38' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$46' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$47' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$48' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$49' with positive edge clock. -3.2. Continuing TECHMAP pass. -Warning: node n2 in graph[1] uut already defined -Some nodes will be renamed. -)Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. -Optimizing module example. +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -4. Generating Graphviz representation of design. +3.1. Executing Verilog-2005 frontend: sym_mul_map.v +Removed 0 redundant assignments. +Promoted 14 assignments to connections. -4. Executing PROC pass (convert processes to netlists). +2.2.4. Executing PROC_INIT pass (extract init attributes). -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). + +2.2.5. Executing PROC_ARST pass (detect async resets in processes). +Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Parsing Verilog input from `memdemo.v' to AST representation. -Generating RTLIL representation for module `\memdemo'. -Successfully finished Verilog frontend. +2.2.12. Executing OPT_EXPR pass (perform const folding). -2. Executing PREP pass. -Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. -Removed a total of 0 dead cases. +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. -4.4. Executing PROC_INIT pass (extract init attributes). +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Optimizing module test. +Removed 0 unused cells and 2 unused wires. -2.1. Executing HIERARCHY pass (managing design hierarchy). +4. Generating Graphviz representation of design. +Finding unused cells or wires in module \splice_demo.. +Removed 0 unused cells and 2 unused wires. -4.5. Executing PROC_ARST pass (detect async resets in processes). +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). + -2.1.1. Analyzing design hierarchy.. +2.7. Executing CHECK pass (checking for obvious problems). +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Top module: \memdemo +3.2. Continuing show pass. -2.1.2. Analyzing design hierarchy.. -Converted 0 switches. - +2.4. Executing OPT_EXPR pass (perform const folding). -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Top module: \memdemo -Removed 0 unused modules. +2.2.5. Executing PROC_ARST pass (detect async resets in processes). +Parsing Verilog input from `sym_mul_map.v' to AST representation. +Generating RTLIL representation for module `\$mul'. +Successfully finished Verilog frontend. -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgCreating decoders for process `\counter.$proc$counter.v:6$1'. - 1/1: $0\count[1:0] +3.2. Continuing TECHMAP pass. -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Optimizing module test. -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Parsing Verilog input from `proc_02.v' to AST representation. +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Checking module splice_demo... +Found and reported 0 problems. + +2.8. Executing OPT pass (performing simple optimizations). + +2.8.1. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `memory_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). +Finding unused cells or wires in module \cmos_demo.. +Removed 0 unused cells and 1 unused wires. 2.1. Analyzing design hierarchy.. + + +2.6. Executing CHECK pass (checking for obvious problems). Top module: \test 2.2. Analyzing design hierarchy.. -Parsing Verilog input from `macc_simple_test_01.v' to AST representation. -Generating RTLIL representation for module `\test'. +Parsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. -7. Executing HIERARCHY pass (managing design hierarchy). +2. Executing PREP pass. Top module: \test Removed 0 unused modules. -7.1. Analyzing design hierarchy.. +2.1. Executing HIERARCHY pass (managing design hierarchy). +Converted 0 switches. -3. Executing PROC pass (convert processes to netlists). -Top module: \test +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -7.2. Analyzing design hierarchy.. +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). + +2.1.1. Analyzing design hierarchy.. + +3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. - created $dff cell `$procdff$8' with positive edge clock. +Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Top module: \test -Removed 0 unused modules. +Top module: \memdemo -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. +2.1.2. Analyzing design hierarchy.. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. -Removing empty process `counter.$proc$counter.v:6$1'. -Cleaned up 2 empty switches. +Checking module cmos_demo... +Found and reported 0 problems. -4.12. Executing OPT_EXPR pass (perform const folding). -Removed 1 redundant assignment. -Promoted 0 assignments to connections. +2.7. Executing OPT pass (performing simple optimizations). + +2.7.1. Executing OPT_EXPR pass (perform const folding). +Top module: \memdemo +Removed 0 unused modules. +Removed 0 redundant assignments. +Promoted 4 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). -Found async reset \R in `\test.$proc$proc_02.v:3$1'. 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_02.v:3$1'. - 1/1: $0\Q[0:0] +Creating decoders for process `\test.$proc$memory_01.v:5$2'. 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -11.2. Continuing TECHMAP pass. -Warning: node n1 in graph[1] uut already defined -Some nodes will be renamed. -Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. -Warning: Async reset value `\RV' is not constant! - created $aldff cell `$procdff$4' with positive edge clock and positive level non-const reset. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$proc_02.v:3$1'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Warning: node n1 in graph[1] uut already defined -Some nodes will be renamed. -)Optimizing module counter. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). - 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removed 0 unused cells and 1 unused wires. - -8. Generating Graphviz representation of design. Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Parsing Verilog input from `sym_mul_map.v' to AST representation. +Generating RTLIL representation for module `\$mul'. +Successfully finished Verilog frontend. + +3.2. Executing Verilog-2005 frontend: mymul_map.v Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). @@ -32442,33 +32007,32 @@ Promoted 14 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). + +Removed 0 unused cells and 18 unused wires. -8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +4. Executing SPLITNETS pass (splitting up multi-bit signals). + +5. Generating Graphviz representation of design. 2.2.5. Executing PROC_ARST pass (detect async resets in processes). -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Removed 0 unused cells and 4 unused wires. + +3. Generating Graphviz representation of design. + +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -(/usr/share/texlive/texmf-dist/tex/latex/base/article.clsParsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -8.2. Continuing show pass. -Optimizing module test. - +3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -No more expansions possible. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Some nodes will be renamed. +5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v +Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. @@ -32501,378 +32065,562 @@ created $dff cell `$procdff$49' with positive edge clock. 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -Removed 0 unused cells and 2 unused wires. -4. Generating Graphviz representation of design. -Optimizing module counter. +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$35' with positive edge clock. +Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$36' with positive edge clock. +Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$37' with positive edge clock. +Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$38' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$46' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$47' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$48' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$49' with positive edge clock. + +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). +Removing empty process `memdemo.$proc$memdemo.v:11$7'. +Cleaned up 0 empty switches. -5.2. Executing OPT_MERGE pass (detect identical cells). - -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.cloUsing template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). - -Removed 0 unused cells and 6 unused wires. +2.2.12. Executing OPT_EXPR pass (perform const folding). +Removed 0 unused cells and 2 unused wires. 4. Generating Graphviz representation of design. +Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$7' with positive edge clock. +Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$8' with positive edge clock. +Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$9' with positive edge clock. +Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$10' with positive edge clock. + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). Optimizing module memdemo. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +Optimizing module cmos_demo. +Optimizing module memdemo. +Optimizing module cmos_demo. -5.6. Executing OPT_DFF pass (perform DFF optimizations). +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -2.3. Executing FUTURE pass. -Writing dot description to `scrambler_p01.dot'. -Dumping module scrambler to page 1. +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +No more expansions possible. +Removing empty process `test.$proc$memory_01.v:5$2'. +Cleaned up 0 empty switches. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +3.12. Executing OPT_EXPR pass (perform const folding). --- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format dot' -- +2.7.2. Executing OPT_MERGE pass (detect identical cells). --- Executing script file `proc_03.ys' -- +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `mymul_map.v' to AST representation. +Generating RTLIL representation for module `\MYMUL'. +Successfully finished Verilog frontend. -1. Executing Verilog-2005 frontend: proc_03.v -../../../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format dot' -)Writing dot description to `proc_02.dot'. -Dumping module test to page 1. -Writing dot description to `macc_simple_test_01a.dot'. -Dumping module test to page 1. +3.3. Continuing TECHMAP pass. +Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$35' with positive edge clock. +Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$36' with positive edge clock. +Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$37' with positive edge clock. +Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$38' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$46' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$47' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$48' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$49' with positive edge clock. -Warnings: 1 unique messages, 1 total -End of script. Logfile hash: c4b4f83334, CPU: user 0.01s system 0.01s, MEM: 10.97 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 28% 1x opt_expr (0 sec), 19% 1x clean (0 sec), ... -Parsing Verilog input from `proc_03.v' to AST representation. -Generating RTLIL representation for module `\test'. +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +2.3. Executing FUTURE pass. +Parsing Verilog input from `red_or3x1_cells.v' to AST representation. +Generating RTLIL representation for module `\OR3X1'. Successfully finished Verilog frontend. -2. Executing HIERARCHY pass (managing design hierarchy). -Writing dot description to `example_second.dot'. -Dumping module example to page 1. +5.2. Continuing show pass. -9. Executing EXTRACT pass (map subcircuits to cells). -Writing dot description to `counter_00.dot'. -Dumping module counter to page 1. +2.3. Executing FUTURE pass. +Optimizing module splice_demo. +Finding unused cells or wires in module \test.. +Removed 1 unused cells and 6 unused wires. + -9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +2.6. Executing CHECK pass (checking for obvious problems). -4. Executing PROC pass (convert processes to netlists). +2.8.2. Executing OPT_MERGE pass (detect identical cells). -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. -Removed a total of 0 dead cases. +2.2.12. Executing OPT_EXPR pass (perform const folding). +Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$35' with positive edge clock. +Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$36' with positive edge clock. +Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$37' with positive edge clock. +Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$38' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$46' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$47' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$48' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$49' with positive edge clock. -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -4.4. Executing PROC_INIT pass (extract init attributes). +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. -4.5. Executing PROC_ARST pass (detect async resets in processes). +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Removing empty process `memdemo.$proc$memdemo.v:11$7'. +Cleaned up 0 empty switches. -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - +2.2.12. Executing OPT_EXPR pass (perform const folding). +Running muxtree optimizer on module \cmos_demo.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \cmos_demo. +Performed a total of 0 changes. -9.2. Executing PROC pass (convert processes to netlists). +2.7.5. Executing OPT_MERGE pass (detect identical cells). +Removed 0 unused cells and 4 unused wires. -9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +4. Generating Graphviz representation of design. +Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\$mul for cells of type $mul. +Removed 0 unused cells and 5 unused wires. -9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +4. Executing SUBMOD pass (moving cells to submodules as requested). +Removed 0 unused cells and 5 unused wires. -9.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +4. Executing SUBMOD pass (moving cells to submodules as requested). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. -9.2.4. Executing PROC_INIT pass (extract init attributes). +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -9.2.5. Executing PROC_ARST pass (detect async resets in processes). +2.4. Executing OPT_EXPR pass (perform const folding). +Checking module test... +Found and reported 0 problems. -9.2.6. Executing PROC_ROM pass (convert switches to ROMs). +2.7. Executing OPT pass (performing simple optimizations). -(/usr/share/texlive/texmf-dist/tex/latex/base/article.clsConverted 0 switches. +2.7.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. +Finding identical cells in module `\splice_demo'. +Removed a total of 0 cells. -9.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +2.8.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \splice_demo.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -9.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Creating decoders for process `\counter.$proc$counter.v:6$1'. - 1/1: $0\count[1:0] +2.8.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Removed 0 unused cells and 2 unused wires. -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). +5. Generating Graphviz representation of design. +Finding unused cells or wires in module \cmos_demo.. +Removed 0 unused cells and 1 unused wires. + -9.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +2.6. Executing CHECK pass (checking for obvious problems). -4.9. Executing PROC_DFF pass (convert process syncs to FFs). +2.3. Executing FUTURE pass. +Optimizing module test. + Optimizing cells in module \splice_demo. +Performed a total of 0 changes. -5. Executing OPT pass (performing simple optimizations). +2.8.5. Executing OPT_MERGE pass (detect identical cells). -5.1. Executing OPT_EXPR pass (perform const folding). +2.4. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. +Optimizing module memdemo. +Checking module cmos_demo... +Found and reported 0 problems. -9.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2.7. Executing OPT pass (performing simple optimizations). -9.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +2.3. Executing FUTURE pass. -9.2.12. Executing OPT_EXPR pass (perform const folding). -Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. - created $dff cell `$procdff$8' with positive edge clock. +2.7.1. Executing OPT_EXPR pass (perform const folding). -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2.3. Executing FUTURE pass. +Optimizing module memdemo. +Finding unused cells or wires in module \cmos_demo.. + +Removed 0 unused cells and 6 unused wires. -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. -Removing empty process `counter.$proc$counter.v:6$1'. -Cleaned up 2 empty switches. +4. Generating Graphviz representation of design. -4.12. Executing OPT_EXPR pass (perform const folding). +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -2.4. Executing OPT_EXPR pass (perform const folding). -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - +2.7.7. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. -12. Executing OPT pass (performing simple optimizations). +4.1. Executing Verilog-2005 frontend: sym_mul_cells.v +Finding identical cells in module `\splice_demo'. +Removed a total of 0 cells. -12.1. Executing OPT_EXPR pass (perform const folding). -)Optimizing module macc_16_16_32. +2.8.6. Executing OPT_CLEAN pass (remove unused cells and wires). -9.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module counter. +2.3. Executing FUTURE pass. +Optimizing module test. -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo../../../../yosys example.ys -make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' -Optimizing module example. +2.7.2. Executing OPT_MERGE pass (detect identical cells). -6. Generating Graphviz representation of design. +2.4. Executing OPT_EXPR pass (perform const folding). +Removed 3 unused cells and 7 unused wires. -5.2. Executing OPT_MERGE pass (detect identical cells). -../../../../yosys memdemo.ys +4. Executing MEMORY pass. -4.1. Executing Verilog-2005 frontend: sym_mul_cells.v -Optimizing module memdemo. +2.4. Executing OPT_EXPR pass (perform const folding). + +4.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Parsing Verilog input from `sym_mul_cells.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 4.2. Continuing show pass. +Optimizing module cmos_demo. + +2.7.8. Finished OPT passes. (There is nothing left to do.) + +2.8. Executing WREDUCE pass (reducing word size of cells). +Optimizing module cmos_demo. + +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). + +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Optimizing module memdemo. +Performed a total of 0 transformations. + +4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + Analyzing test.mem write port 0. + +4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). + +2.4. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. +Finding unused cells or wires in module \splice_demo.. 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. - -9.4. Creating graphs for SubCircuit library. -Finding identical cells in module `\example'. -Removed a total of 0 cells. +2.8.7. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\test'. + +Removed a total of 1 cells. -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \example.. +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). + +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. - dead port 2/2 on $mux $ternary$example.v:5$3. -Removed 1 multiplexer ports. +Removed 0 multiplexer ports. -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \example. +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Finding unused cells or wires in module \memdemo.. +Removed 12 unused cells and 26 unused wires. + Optimizing cells in module \test. Performed a total of 0 changes. -5.5. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `scrambler_p02.dot'. -Dumping module xorshift32 to page 1. -Writing dot description to `sym_mul.dot'. -Dumping module test to page 1. -echo on +2.7.5. Executing OPT_MERGE pass (detect identical cells). + -yosys> cd xorshift32 +2.6. Executing CHECK pass (checking for obvious problems). +Finding unused cells or wires in module \cmos_demo.. -yosys [xorshift32]> rename n2 in -Renaming wire n2 to in in module xorshift32. +2.4. Executing OPT_EXPR pass (perform const folding). -yosys [xorshift32]> rename n1 out -Renaming wire n1 to out in module xorshift32. +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -yosys [xorshift32]> eval -set in 1 -show out +2.11. Executing OPT pass (performing simple optimizations). -7. Executing EVAL pass (evaluate the circuit given an input). -Finding identical cells in module `\example'. +2.11.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. +Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. -5.6. Executing OPT_DFF pass (perform DFF optimizations). -) -End of script. Logfile hash: 28982f840f, CPU: user 0.01s system 0.01s, MEM: 11.05 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 31% 4x read_verilog (0 sec), 24% 1x techmap (0 sec), ... -Failed to evaluate signal \out: Missing value for \out. +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Checking read port `\mem'[0] in module `\test': merging output FF to cell. + Write port 0: non-transparent. -yosys [xorshift32]> eval -set in 270369 -show out +4.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Running muxtree optimizer on module \cmos_demo.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -8. Executing EVAL pass (evaluate the circuit given an input). -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \cmos_demo. +Performed a total of 0 changes. -9.5. Running solver from SubCircuit library. -Failed to evaluate signal \out: Missing value for \out. +2.7.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\test'. +Removed a total of 0 cells. -yosys [xorshift32]> sat -set out 632435482 +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -9. Executing SAT pass (solving SAT problems in the circuit). -Solving for needle_macc_16_16_32 in haystack_test. -Found 1 matches. +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Checking module memdemo... +Found and reported 0 problems. -9.6. Substitute SubCircuits with cells. -Optimizing module counter. - -Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). +2.7. Executing OPT pass (performing simple optimizations). -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +2.7.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module cmos_demo. -12.2. Executing OPT_MERGE pass (detect identical cells). +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Optimizing module splice_demo. -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$19 -Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\mul_wrap for cells of type $mul. +2.8.8. Finished OPT passes. (There is nothing left to do.) +Finding unused cells or wires in module \test.. +Removed 1 unused cells and 9 unused wires. + + +4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). + +4.1. Executing OPT_CLEAN pass (remove unused cells and wires). + +4.1. Executing OPT_CLEAN pass (remove unused cells and wires). + +2.9. Executing WREDUCE pass (reducing word size of cells). +Optimizing module memdemo. +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). + +2.10. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. +Optimizing module memdemo. + +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). 2.6. Executing CHECK pass (checking for obvious problems). -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -../../../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format dot' +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Optimizing module memdemo. -3. Executing PROC pass (convert processes to netlists). +4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +4.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \test.. Removed 0 unused cells and 1 unused wires. -10. Generating Graphviz representation of design. -Cleaned up 0 empty switches. +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). + -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +2.7.7. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \cmos_demo.. -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +2.11.4. Finished fast OPT passes. -3.4. Executing PROC_INIT pass (extract init attributes). -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). +2.12. Printing statistics. -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +=== cmos_demo === -3.5. Executing PROC_ARST pass (detect async resets in processes). + Number of wires: 3 + Number of wire bits: 4 + Number of public wires: 3 + Number of public wire bits: 4 + Number of ports: 3 + Number of port bits: 4 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 1 + $add 1 -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - +2.13. Executing CHECK pass (checking for obvious problems). +Checking module cmos_demo... +Found and reported 0 problems. -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +3. Executing TECHMAP pass (map to technology primitives). -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.styChecking module memdemo... +3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v +Checking module memdemo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). -Creating decoders for process `\test.$proc$proc_03.v:3$1'. - 1/1: $0\Y[0:0] +Finding identical cells in module `\memdemo'. + +Removed a total of 4 cells. -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -3.9. Executing PROC_DFF pass (convert process syncs to FFs). +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Finding unused cells or wires in module \test.. -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +4.10. Executing MEMORY_COLLECT pass (generating $mem cells). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. -Removing empty process `test.$proc$proc_03.v:3$1'. -Cleaned up 2 empty switches. +2.7.5. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \cmos_demo.. -3.12. Executing OPT_EXPR pass (perform const folding). -)Optimizing module memdemo. +2.7.7. Executing OPT_EXPR pass (perform const folding). -2.7.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. - +4.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +Finding unused cells or wires in module \splice_demo.. -5.8. Executing OPT_EXPR pass (perform const folding). -../../../../yosys mymul_test.ys -Finding identical cells in module `\counter'. +2.11. Executing MEMORY_COLLECT pass (generating $mem cells). + +2.12. Executing OPT pass (performing simple optimizations). + +2.12.1. Executing OPT_EXPR pass (perform const folding). +Mapping memory \mem in module \test: + created 2 $dff cells and 0 static cells of width 8. +Extracted data FF from read port 0 of test.mem: $\mem$rdreg[0] + read interface: 1 $dff and 1 $mux cells. + write interface: 2 write mux blocks. +Finding unused cells or wires in module \memdemo.. +Removed 12 unused cells and 26 unused wires. + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). + + +2.6. Executing CHECK pass (checking for obvious problems). +Optimizing module test. +Finding unused cells or wires in module \scrambler.. +Finding unused cells or wires in module \scrambler.. + +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) +Optimizing module memdemo. + +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). + +4.2. Continuing SUBMOD pass. + +4.2. Continuing SUBMOD pass. +Finding unused cells or wires in module \memdemo.. +Removed 12 unused cells and 26 unused wires. + +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Running muxtree optimizer on module \test.. Creating internal representation of mux trees. - No muxes found in this module. + Evaluating internal representation of mux trees. + Analyzing evaluation results. Removed 0 multiplexer ports. + -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + + +2.6. Executing CHECK pass (checking for obvious problems). + Optimizing cells in module \test. +Performed a total of 0 changes. + +2.7.11. Executing OPT_MERGE pass (detect identical cells). +Optimizing module splice_demo. +Optimizing module cmos_demo. + +2.12.2. Executing OPT_MERGE pass (detect identical cells). + +2.7.8. Finished OPT passes. (There is nothing left to do.) Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -5. Executing OPT pass (performing simple optimizations). -Optimizing module test. - -5.1. Executing OPT_EXPR pass (perform const folding). +2.8. Executing WREDUCE pass (reducing word size of cells). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -32882,203 +32630,225 @@ 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty Optimizing cells in module \counter. -Performed a total of 0 changes. +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Creating submodule xorshift32 (\xorshift32) of module \scrambler. + signal $1\xs[31:0]: input \n1 + signal $0\xs[31:0]: output \n2 + signal $xor$scrambler.v:9$3_Y: internal + signal $shr$scrambler.v:10$4_Y: internal + signal $xor$scrambler.v:10$5_Y: internal + signal $shl$scrambler.v:11$6_Y: internal + cell $xor$scrambler.v:9$3 ($xor) + cell $xor$scrambler.v:11$7 ($xor) + cell $xor$scrambler.v:10$5 ($xor) +Creating submodule xorshift32 (\xorshift32) of module \scrambler. + signal $1\xs[31:0]: input \n1 + signal $0\xs[31:0]: output \n2 + signal $xor$scrambler.v:9$3_Y: internal + signal $shr$scrambler.v:10$4_Y: internal + signal $xor$scrambler.v:10$5_Y: internal + signal $shl$scrambler.v:11$6_Y: internal + cell $xor$scrambler.v:9$3 ($xor) + cell $xor$scrambler.v:11$7 ($xor) + cell $xor$scrambler.v:10$5 ($xor) +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 4 unused wires. +Checking module memdemo... +Found and reported 0 problems. -12.5. Executing OPT_MERGE pass (detect identical cells). +2.7. Executing OPT pass (performing simple optimizations). + + +2.7.7. Executing OPT_EXPR pass (perform const folding). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.texFinding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -12.6. Executing OPT_DFF pass (perform DFF optimizations). -Removed 0 unused cells and 4 unused wires. +2.7.1. Executing OPT_EXPR pass (perform const folding). -4. Generating Graphviz representation of design. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.defOptimizing module counter. -Writing dot description to `proc_03.dot'. -Dumping module test to page 1. +5. Generating Graphviz representation of design. -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). -) - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +5. Generating Graphviz representation of design. +Checking module memdemo... +Found and reported 0 problems. --- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format dot' -- +2.7. Executing OPT pass (performing simple optimizations). --- Executing script file `memory_01.ys' -- +2.7.1. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\test'. +Removed a total of 0 cells. -1. Executing Verilog-2005 frontend: memory_01.v -Finding unused cells or wires in module \example.. -Removed 1 unused cells and 4 unused wires. +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 4 unused wires. - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -End of script. Logfile hash: 5a538b5f7f, CPU: user 0.01s system 0.00s, MEM: 11.02 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 25% 1x opt_expr (0 sec), 20% 1x clean (0 sec), ... +Removed 12 unused cells and 26 unused wires. + -Setting up SAT problem: -Import set-constraint: \out = 632435482 -Final constraint equation: \out = 632435482 -Imported 3 cells to SAT database. +2.6. Executing CHECK pass (checking for obvious problems). +Using template $paramod\MYMUL\WIDTH=32'00000000000000000000000000000010 for cells of type MYMUL. +No more expansions possible. +Finding unused cells or wires in module \memdemo.. +Removed 12 unused cells and 26 unused wires. +Optimizing module test. + -Solving problem with 665 variables and 1735 clauses.. +5.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.texFinding unused cells or wires in module \counter.. -Removed 1 unused cells and 32 unused wires. - +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). + -12.8. Executing OPT_EXPR pass (perform const folding). +2.6. Executing CHECK pass (checking for obvious problems). +Finding identical cells in module `\splice_demo'. +Removed a total of 0 cells. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))Using template $paramod$48197a291a9e3825142389e9d2e41385cae2467c\mul_wrap for cells of type $mul. -Optimizing module counter. +2.12.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. -12.9. Rerunning OPT passes. (Maybe there is more to do..) +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Optimizing module memdemo. +Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. - No muxes found in this module. + Evaluating internal representation of mux trees. + Analyzing evaluation results. Removed 0 multiplexer ports. + -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. Performed a total of 0 changes. -12.12. Executing OPT_MERGE pass (detect identical cells). - +2.7.11. Executing OPT_MERGE pass (detect identical cells). -5.8. Executing OPT_EXPR pass (perform const folding). -SAT solving finished - model found: +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Checking module memdemo... +Found and reported 0 problems. - Signal Name Dec Hex Bin - --------------- ----------- --------- ----------------------------------- - \out 632435482 25b2331a 00100101101100100011001100011010 +2.7. Executing OPT pass (performing simple optimizations). -End of script. Logfile hash: c8ba6caa2d, CPU: user 0.02s system 0.02s, MEM: 13.18 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 23% 1x sat (0 sec), 18% 1x submod (0 sec), ... -Finding identical cells in module `\counter'. +2.7.1. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\test'. Removed a total of 0 cells. -12.13. Executing OPT_DFF pass (perform DFF optimizations). -Parsing Verilog input from `memory_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Finding unused cells or wires in module \cmos_demo.. -2. Executing HIERARCHY pass (managing design hierarchy). +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -2.1. Analyzing design hierarchy.. -Top module: \test +2.11. Executing OPT pass (performing simple optimizations). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -3. Executing PROC pass (convert processes to netlists). +2.11.1. Executing OPT_EXPR pass (perform const folding). + Optimizing cells in module \test. +Performed a total of 0 changes. -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +5.5. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \test.. -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +2.7.13. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 4 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). -Removed 0 redundant assignments. -Promoted 4 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). - -10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Converted 0 switches. +Finding identical cells in module `\test'. +Removed a total of 0 cells. -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$memory_01.v:5$2'. +5.6. Executing OPT_DFF pass (perform DFF optimizations). +Finding unused cells or wires in module \splice_demo.. +Checking module memdemo... +Found and reported 0 problems. -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. +2.7. Executing OPT pass (performing simple optimizations). -10.2. Continuing show pass. -Optimizing module example. +2.12.4. Finished fast OPT passes. -3.9. Executing PROC_DFF pass (convert process syncs to FFs). +2.7.1. Executing OPT_EXPR pass (perform const folding). -5.9. Rerunning OPT passes. (Maybe there is more to do..) +2.13. Printing statistics. +Finding identical cells in module `\memdemo'. + +Removed a total of 4 cells. -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \example.. +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Optimizing module memdemo. +Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. - No muxes found in this module. + Evaluating internal representation of mux trees. + Analyzing evaluation results. Removed 0 multiplexer ports. + -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Optimizing module counter. - Optimizing cells in module \example. -Performed a total of 0 changes. +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -5.12. Executing OPT_MERGE pass (detect identical cells). +=== splice_demo === -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -5.9. Rerunning OPT passes. (Maybe there is more to do..) + Number of wires: 8 + Number of wire bits: 26 + Number of public wires: 8 + Number of public wire bits: 26 + Number of ports: 8 + Number of port bits: 26 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 2 + $neg 1 + $not 1 -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2.14. Executing CHECK pass (checking for obvious problems). -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. +2.7.2. Executing OPT_MERGE pass (detect identical cells). + +Removed 0 unused cells and 10 unused wires. +Renaming module \test to \test_mapped. + +4. Executing Verilog-2005 frontend: mymul_test.v + Optimizing cells in module \memdemo. Performed a total of 0 changes. -5.12. Executing OPT_MERGE pass (detect identical cells). -Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$7' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$8' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$9' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$10' with positive edge clock. +2.7.5. Executing OPT_MERGE pass (detect identical cells). +Checking module splice_demo... +Found and reported 0 problems. -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +3. Generating Graphviz representation of design. +Parsing Verilog input from `mymul_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$memory_01.v:5$2'. -Cleaned up 0 empty switches. +5. Executing MITER pass (creating miter circuit). -3.12. Executing OPT_EXPR pass (perform const folding). +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". + +6. Executing FLATTEN pass (flatten design). Optimizing module memdemo. +Optimizing module cmos_demo. +Finding unused cells or wires in module \memdemo.. 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) +2.7.13. Executing OPT_EXPR pass (perform const folding). + 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Writing dot description to `macc_simple_test_01b.dot'. -Dumping module test to page 1. +Optimizing module test. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -33087,95 +32857,89 @@ 2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Finding identical cells in module `\example'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -2.7.11. Executing OPT_MERGE pass (detect identical cells). - -11. Executing Verilog-2005 frontend: macc_simple_test_02.v -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Parsing Verilog input from `macc_simple_test_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -12. Executing HIERARCHY pass (managing design hierarchy). - -12.1. Analyzing design hierarchy.. -Top module: \test - -12.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module test. +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \example.. +2.11.2. Executing OPT_MERGE pass (detect identical cells). + -5.15. Executing OPT_EXPR pass (perform const folding). +7. Executing SAT pass (solving SAT problems in the circuit). -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +2.7.14. Finished OPT passes. (There is nothing left to do.) + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty../../../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' +2.7.11. Executing OPT_MERGE pass (detect identical cells). -5.2. Executing OPT_MERGE pass (detect identical cells). -../../../../yosys submod.ys -Finding unused cells or wires in module \memdemo.. +2.8. Executing WREDUCE pass (reducing word size of cells). +Finding identical cells in module `\memdemo'. + +Removed a total of 4 cells. -2.7.13. Executing OPT_EXPR pass (perform const folding). -Removed 0 unused cells and 1 unused wires. +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Finding identical cells in module `\memdemo'. + +Removed a total of 4 cells. -13. Generating Graphviz representation of design. -Finding unused cells or wires in module \counter.. +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.styFinding identical cells in module `\counter'. -Removed a total of 0 cells. +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +2.7.5. Executing OPT_MERGE pass (detect identical cells). -12.15. Executing OPT_EXPR pass (perform const folding). -Running muxtree optimizer on module \counter.. +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. Performed a total of 0 changes. -5.5. Executing OPT_MERGE pass (detect identical cells). -Removed 3 unused cells and 7 unused wires. - -4. Executing MEMORY pass. - -4.1. Executing OPT_MEM pass (optimize memories). +2.7.5. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \test.. +Removed 1 unused cells and 6 unused wires. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.texPerformed a total of 0 transformations. +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). + -4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +5.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. Optimizing module memdemo. 2.7.14. Finished OPT passes. (There is nothing left to do.) -Finding identical cells in module `\counter'. +Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. -5.6. Executing OPT_DFF pass (perform DFF optimizations). +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). + +2.7.2. Executing OPT_MERGE pass (detect identical cells). 2.8. Executing WREDUCE pass (reducing word size of cells). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 4 unused wires. + + +2.7.7. Executing OPT_EXPR pass (perform const folding). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). @@ -33186,382 +32950,356 @@ Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Performed a total of 0 transformations. - -4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - Analyzing test.mem write port 0. - -4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.styOptimizing module counter. -12.16. Finished OPT passes. (There is nothing left to do.) - -13. Executing SPLITNETS pass (splitting up multi-bit signals). +Setting up SAT problem: +Final constraint equation: { } = { } +Imported 9 cells to SAT database. +Import proof-constraint: \trigger = 1'0 +Final proof equation: \trigger = 1'0 -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -13.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Checking read port `\mem'[0] in module `\test': merging output FF to cell. - Write port 0: non-transparent. +Solving problem with 107 variables and 283 clauses.. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -4.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module example. -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. -13.2. Continuing show pass. +2.7.13. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. -5.16. Finished OPT passes. (There is nothing left to do.) +5.9. Rerunning OPT passes. (Maybe there is more to do..) -6. Generating Graphviz representation of design. -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Optimizing module memdemo. +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. -5.8. Executing OPT_EXPR pass (perform const folding). -Removed 0 unused cells and 2 unused wires. - -14. Generating Graphviz representation of design. -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 9 unused wires. - - -4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Writing dot description to `macc_simple_test_02a.dot'. -Dumping module test to page 1. -Writing dot description to `example_third.dot'. -Dumping module example to page 1. - -14. Executing EXTRACT pass (map subcircuits to cells). - -14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -End of script. Logfile hash: 1968fcbfd1, CPU: user 0.03s system 0.01s, MEM: 12.55 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 34% 4x opt_expr (0 sec), 17% 3x show (0 sec), ... +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) + Optimizing cells in module \test. +Performed a total of 0 changes. -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.styWriting dot description to `counter_02.dot'. -Dumping module counter to page 1. -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. +5.12. Executing OPT_MERGE pass (detect identical cells). -14.2. Executing PROC pass (convert processes to netlists). +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Finding unused cells or wires in module \memdemo.. +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 4 unused wires. +Finding unused cells or wires in module \cmos_demo.. + -14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +2.7.7. Executing OPT_EXPR pass (perform const folding). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -14.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +2.11.4. Finished fast OPT passes. -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +Finding unused cells or wires in module \test.. -14.2.4. Executing PROC_INIT pass (extract init attributes). -) -14.2.5. Executing PROC_ARST pass (detect async resets in processes). -) -14.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. +2.12. Printing statistics. + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -14.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +2.7.11. Executing OPT_MERGE pass (detect identical cells). -14.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). - cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. - final dff cell mappings: - unmapped dff cell: $_DFF_N_ - \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); - unmapped dff cell: $_DFF_NN0_ - unmapped dff cell: $_DFF_NN1_ - unmapped dff cell: $_DFF_NP0_ - unmapped dff cell: $_DFF_NP1_ - unmapped dff cell: $_DFF_PN0_ - unmapped dff cell: $_DFF_PN1_ - unmapped dff cell: $_DFF_PP0_ - unmapped dff cell: $_DFF_PP1_ - unmapped dff cell: $_DFFE_NN_ - unmapped dff cell: $_DFFE_NP_ - unmapped dff cell: $_DFFE_PN_ - unmapped dff cell: $_DFFE_PP_ - unmapped dff cell: $_DFFSR_NNN_ - unmapped dff cell: $_DFFSR_NNP_ - unmapped dff cell: $_DFFSR_NPN_ - unmapped dff cell: $_DFFSR_NPP_ - unmapped dff cell: $_DFFSR_PNN_ - unmapped dff cell: $_DFFSR_PNP_ - unmapped dff cell: $_DFFSR_PPN_ - unmapped dff cell: $_DFFSR_PPP_ +2.11. Executing OPT pass (performing simple optimizations). -15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). -Optimizing module counter. -Mapping DFF cells in module `\counter': - mapped 2 $_DFF_P_ cells to \DFF cells. -Performed a total of 0 transformations. +=== cmos_demo === -4.9. Executing OPT_CLEAN pass (remove unused cells and wires). + Number of wires: 3 + Number of wire bits: 4 + Number of public wires: 3 + Number of public wire bits: 4 + Number of ports: 3 + Number of port bits: 4 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 1 + $add 1 -14.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +2.13. Executing CHECK pass (checking for obvious problems). -16. Executing ABC pass (technology mapping using ABC). +2.11.1. Executing OPT_EXPR pass (perform const folding). +Checking module cmos_demo... +Found and reported 0 problems. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +3. Executing TECHMAP pass (map to technology primitives). --- Executing script file `memdemo.ys' -- +2.11. Executing OPT pass (performing simple optimizations). -1. Executing Verilog-2005 frontend: memdemo.v +2.11.1. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\memdemo'. + +Removed a total of 4 cells. -14.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Optimizing module memdemo. -14.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v +Finding identical cells in module `\test'. +Removed a total of 0 cells. -14.2.12. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \test.. +5.13. Executing OPT_DFF pass (perform DFF optimizations). +SAT proof finished - no model found: SUCCESS! -4.10. Executing MEMORY_COLLECT pass (generating $mem cells). + /$$$$$$ /$$$$$$$$ /$$$$$$$ + /$$__ $$ | $$_____/ | $$__ $$ + | $$ \ $$ | $$ | $$ \ $$ + | $$ | $$ | $$$$$ | $$ | $$ + | $$ | $$ | $$__/ | $$ | $$ + | $$/$$ $$ | $$ | $$ | $$ + | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ + \____ $$$|__/|________/|__/|_______/|__/ + \__/ -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -4.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -Optimizing module macc_16_16_32. +8. Executing SPLITNETS pass (splitting up multi-bit signals). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -14.3. Executing OPT_CLEAN pass (remove unused cells and wires). +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfgFinding unused cells or wires in module \counter.. -) -5.15. Executing OPT_EXPR pass (perform const folding). -Mapping memory \mem in module \test: - created 2 $dff cells and 0 static cells of width 8. -Extracted data FF from read port 0 of test.mem: $\mem$rdreg[0] - read interface: 1 $dff and 1 $mux cells. - write interface: 2 write mux blocks. +2.7.14. Finished OPT passes. (There is nothing left to do.) -5. Executing OPT pass (performing simple optimizations). +2.8. Executing WREDUCE pass (reducing word size of cells). -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. +9. Generating Graphviz representation of design. + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -5.1. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. +2.7.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). -16.1.1. Executing ABC. +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) -2.11. Executing OPT pass (performing simple optimizations). +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) -2.11.1. Executing OPT_EXPR pass (perform const folding). -))Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. -14.4. Creating graphs for SubCircuit library. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format dot' -- - --- Executing script file `memory_02.ys' -- - -1. Executing Verilog-2005 frontend: memory_02.v +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defOptimizing module counter. +2.7.11. Executing OPT_MERGE pass (detect identical cells). Optimizing module memdemo. +Adding EN signal on $memory\mem[1]$23 ($dff) from module test (D = \DIN, Q = \mem[1]). +Adding EN signal on $memory\mem[0]$21 ($dff) from module test (D = \DIN, Q = \mem[0]). -5.16. Finished OPT passes. (There is nothing left to do.) +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 4 unused wires. 2.11.2. Executing OPT_MERGE pass (detect identical cells). + -6. Executing MEMORY pass. - -6.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. +2.7.7. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \memdemo.. -6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Parsing Verilog input from `memdemo.v' to AST representation. -Generating RTLIL representation for module `\memdemo'. -Successfully finished Verilog frontend. +2.7.13. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -2. Executing PREP pass. +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Performed a total of 0 transformations. +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -Top module: \memdemo +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +Optimizing module test. +Finding unused cells or wires in module \test.. +Removed 2 unused cells and 2 unused wires. + -2.1.2. Analyzing design hierarchy.. +5.15. Executing OPT_EXPR pass (perform const folding). -6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). +2.11.2. Executing OPT_MERGE pass (detect identical cells). -6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Top module: \memdemo -Removed 0 unused modules. -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. +2.11. Executing OPT pass (performing simple optimizations). -14.5. Running solver from SubCircuit library. +2.11.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. +Optimizing module memdemo. -2.2. Executing PROC pass (convert processes to netlists). +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Finding unused cells or wires in module \memdemo.. +Finding unused cells or wires in module \memdemo.. -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +2.7.13. Executing OPT_EXPR pass (perform const folding). -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 14 assignments to connections. +2.7.14. Finished OPT passes. (There is nothing left to do.) -2.2.4. Executing PROC_INIT pass (extract init attributes). +2.11.4. Finished fast OPT passes. -2.2.5. Executing PROC_ARST pass (detect async resets in processes). +2.12. Printing statistics. +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. +2.8. Executing WREDUCE pass (reducing word size of cells). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Solving for needle_macc_16_16_32 in haystack_test. -Found 2 matches. +2.7.11. Executing OPT_MERGE pass (detect identical cells). -14.6. Substitute SubCircuits with cells. -Optimizing module test. - +=== memdemo === -6.6. Executing OPT_CLEAN pass (remove unused cells and wires). -../../../../yosys example.ys -Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\add_wrap for cells of type $add. -No more expansions possible. + Number of wires: 18 + Number of wire bits: 58 + Number of public wires: 5 + Number of public wire bits: 13 + Number of ports: 3 + Number of port bits: 9 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 11 + $add 4 + $dff 3 + $mem_v2 1 + $mux 1 + $reduce_bool 1 + $xor 1 -5.2. Executing OPT_MERGE pass (detect identical cells). - +2.13. Executing CHECK pass (checking for obvious problems). +Optimizing module memdemo. +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). -11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). -make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +2.11.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module test. +Checking module memdemo... +Found and reported 0 problems. + +3. Executing MEMORY pass. + +3.1. Executing OPT_MEM pass (optimize memories). + +5.16. Rerunning OPT passes. (Maybe there is more to do..) + +5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Performed a total of 0 transformations. + +3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. - + -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. -5.5. Executing OPT_MERGE pass (detect identical cells). +5.19. Executing OPT_MERGE pass (detect identical cells). +Optimizing module memdemo. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -5.9. Rerunning OPT passes. (Maybe there is more to do..) +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$35' with positive edge clock. -Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$36' with positive edge clock. -Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$37' with positive edge clock. -Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$38' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$46' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$47' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$48' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$49' with positive edge clock. +2.7.14. Finished OPT passes. (There is nothing left to do.) -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2.8. Executing WREDUCE pass (reducing word size of cells). +Finding identical cells in module `\test'. +Removed a total of 0 cells. -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +5.20. Executing OPT_DFF pass (perform DFF optimizations). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 4 unused wires. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `memdemo.$proc$memdemo.v:11$7'. -Cleaned up 0 empty switches. +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). + -2.2.12. Executing OPT_EXPR pass (perform const folding). - Optimizing cells in module \counter. -Performed a total of 0 changes. +2.7.7. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \memdemo.. +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). -5.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -5.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -5.13. Executing OPT_DFF pass (perform DFF optimizations). +2.11. Executing OPT pass (performing simple optimizations). -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +2.11.1. Executing OPT_EXPR pass (perform const folding). -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module memdemo. +5.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 2.11.4. Finished fast OPT passes. -2.3. Executing FUTURE pass. - 2.12. Printing statistics. -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty === memdemo === Number of wires: 18 @@ -33582,253 +33320,157 @@ $xor 1 2.13. Executing CHECK pass (checking for obvious problems). -)Checking module memdemo... +Performed a total of 6 transformations. + +3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). +Finding unused cells or wires in module \memdemo.. +Finding unused cells or wires in module \test.. + +2.11.4. Finished fast OPT passes. +Checking module memdemo... Found and reported 0 problems. +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). + 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. -3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 6 unused wires. -)Finding unused cells or wires in module \counter.. +2.12. Printing statistics. + Analyzing memdemo.mem write port 0. + Analyzing memdemo.mem write port 1. + Analyzing memdemo.mem write port 2. + Analyzing memdemo.mem write port 3. + Analyzing memdemo.mem write port 4. -6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). - +3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). -5.8. Executing OPT_EXPR pass (perform const folding). +3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +Optimizing module memdemo. +Performed a total of 0 transformations. -2.4. Executing OPT_EXPR pass (perform const folding). +3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +2.11.2. Executing OPT_MERGE pass (detect identical cells). - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +=== test === --- Executing script file `example.ys' -- + Number of wires: 9 + Number of wire bits: 114 + Number of public wires: 8 + Number of public wire bits: 98 + Number of ports: 4 + Number of port bits: 34 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 6 + $add 1 + $dff 2 + $mux 1 + $sub 1 + $xor 1 -1. Executing Verilog-2005 frontend: example.v -Optimizing module test. -Performed a total of 6 transformations. +2.13. Executing CHECK pass (checking for obvious problems). +Finding unused cells or wires in module \test.. -3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). +5.22. Executing OPT_EXPR pass (perform const folding). -5.9. Rerunning OPT passes. (Maybe there is more to do..) -Finding unused cells or wires in module \counter.. +2.11. Executing OPT pass (performing simple optimizations). -5.15. Executing OPT_EXPR pass (perform const folding). +2.11.1. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \memdemo.. -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - +2.7.13. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. -12. Executing OPT pass (performing simple optimizations). -Running muxtree optimizer on module \test.. +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) + +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. - + -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -12.1. Executing OPT_EXPR pass (perform const folding). - Optimizing cells in module \test. +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). + Optimizing cells in module \memdemo. Performed a total of 0 changes. -5.12. Executing OPT_MERGE pass (detect identical cells). - -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)Optimizing module memdemo. -Parsing Verilog input from `memory_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). - -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$30 - -Match #1: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$31 -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Marked 1 switch rules as full_case in process $proc$memory_02.v:17$10 in module test. -Marked 1 switch rules as full_case in process $proc$memory_02.v:13$3 in module test. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 8 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). -Optimizing module counter. - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -5.16. Finished OPT passes. (There is nothing left to do.) - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' -- - --- Executing script file `techmap_01.ys' -- - -1. Executing Verilog-2005 frontend: techmap_01.v -Performed a total of 0 transformations. - -6.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `example.v' to AST representation. -Generating RTLIL representation for module `\example'. -Successfully finished Verilog frontend. - -2. Generating Graphviz representation of design. -Creating decoders for process `\test.$proc$memory_02.v:24$19'. -Creating decoders for process `\test.$proc$memory_02.v:21$17'. -Creating decoders for process `\test.$proc$memory_02.v:17$10'. - 1/3: $1$memwr$\memory$memory_02.v:19$2_EN[7:0]$16 - 2/3: $1$memwr$\memory$memory_02.v:19$2_DATA[7:0]$15 - 3/3: $1$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$14 -Creating decoders for process `\test.$proc$memory_02.v:13$3'. - 1/3: $1$memwr$\memory$memory_02.v:15$1_EN[7:0]$9 - 2/3: $1$memwr$\memory$memory_02.v:15$1_DATA[7:0]$8 - 3/3: $1$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$7 - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Parsing Verilog input from `techmap_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: techmap_01_map.v -Adding EN signal on $memory\mem[1]$23 ($dff) from module test (D = \DIN, Q = \mem[1]). -Adding EN signal on $memory\mem[0]$21 ($dff) from module test (D = \DIN, Q = \mem[0]). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$19'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$17'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$46' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$memory_02.v:24$19'. -Removing empty process `test.$proc$memory_02.v:21$17'. -Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$10'. -Removing empty process `test.$proc$memory_02.v:17$10'. -Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. -Removing empty process `test.$proc$memory_02.v:13$3'. -Cleaned up 2 empty switches. +2.7.11. Executing OPT_MERGE pass (detect identical cells). +Optimizing module memdemo. -3.12. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `techmap_01_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Checking module test... +Found and reported 0 problems. +Optimizing module test. +Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. -Finding unused cells or wires in module \counter.. - -6.10. Executing MEMORY_COLLECT pass (generating $mem cells). -6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). - -6. Executing MEMORY pass. - -6.1. Executing OPT_MEM pass (optimize memories). -Removed 0 unused cells and 2 unused wires. - -15. Generating Graphviz representation of design. -Performed a total of 0 transformations. - -6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). - -15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Optimizing module counter. - +5.23. Finished OPT passes. (There is nothing left to do.) -12.2. Executing OPT_MERGE pass (detect identical cells). +6. Generating Graphviz representation of design. +Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. + Write port 0: non-transparent. + Write port 1: non-transparent. + Write port 2: non-transparent. + Write port 3: non-transparent. + Write port 4: non-transparent. +Checking read port `\mem'[1] in module `\memdemo': no output FF found. +Checking read port `\mem'[2] in module `\memdemo': no output FF found. +Checking read port `\mem'[3] in module `\memdemo': no output FF found. +Checking read port `\mem'[4] in module `\memdemo': no output FF found. +Checking read port address `\mem'[1] in module `\memdemo': no address FF found. +Checking read port address `\mem'[2] in module `\memdemo': no address FF found. +Checking read port address `\mem'[3] in module `\memdemo': no address FF found. +Checking read port address `\mem'[4] in module `\memdemo': no address FF found. -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.styFinding unused cells or wires in module \memdemo.. -Removed 12 unused cells and 26 unused wires. -Performed a total of 0 transformations. +3.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Performed a total of 6 transformations. -6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - +3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -2.6. Executing CHECK pass (checking for obvious problems). +2.11.4. Finished fast OPT passes. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -7. Executing OPT pass (performing simple optimizations). -Optimizing module test. +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. -7.1. Executing OPT_EXPR pass (perform const folding). +2.12. Printing statistics. +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. @@ -33838,87 +33480,98 @@ 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Writing dot description to `example_first.dot'. -Dumping module example to page 1. -Finding unused cells or wires in module \test.. -Removed 2 unused cells and 2 unused wires. - -5.15. Executing OPT_EXPR pass (perform const folding). -Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. +=== memdemo === -3. Executing PROC pass (convert processes to netlists). + Number of wires: 18 + Number of wire bits: 58 + Number of public wires: 5 + Number of public wire bits: 13 + Number of ports: 3 + Number of port bits: 9 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 11 + $add 4 + $dff 3 + $mem_v2 1 + $mux 1 + $reduce_bool 1 + $xor 1 -6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). +2.13. Executing CHECK pass (checking for obvious problems). -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +2.7.14. Finished OPT passes. (There is nothing left to do.) +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). + +2.8. Executing WREDUCE pass (reducing word size of cells). Checking module memdemo... Found and reported 0 problems. -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.texConverted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\example.$proc$example.v:3$1'. - 1/1: $0\y[1:0] +3. Executing MEMORY pass. -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +3.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texNo more expansions possible. -Removed 6 unused cells and 26 unused wires. +3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). -4. Executing MEMORY pass. +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. + -4.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Optimizing module memdemo. -Optimizing module test. +2.11.4. Finished fast OPT passes. -2.7.2. Executing OPT_MERGE pass (detect identical cells). +2.12. Printing statistics. -5.16. Rerunning OPT passes. (Maybe there is more to do..) +=== memdemo === -5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - + Number of wires: 18 + Number of wire bits: 58 + Number of public wires: 5 + Number of public wire bits: 13 + Number of ports: 3 + Number of port bits: 9 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 11 + $add 4 + $dff 3 + $mem_v2 1 + $mux 1 + $reduce_bool 1 + $xor 1 -5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. +2.13. Executing CHECK pass (checking for obvious problems). +Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. +Checking module memdemo... +Found and reported 0 problems. -5.19. Executing OPT_MERGE pass (detect identical cells). +3. Executing MEMORY pass. -3.9. Executing PROC_DFF pass (convert process syncs to FFs). +3.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. -4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). +3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. @@ -33935,127 +33588,8 @@ Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). - Analyzing test.memory write port 0. - Analyzing test.memory write port 1. - -4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). - -6.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. - created $dff cell `$procdff$6' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.20. Executing OPT_DFF pass (perform DFF optimizations). -Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. -Removing empty process `example.$proc$example.v:3$1'. -Cleaned up 1 empty switch. - -3.12. Executing OPT_EXPR pass (perform const folding). -Finding identical cells in module `\memdemo'. - -Removed a total of 4 cells. - -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -2.7.5. Executing OPT_MERGE pass (detect identical cells). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `mymul_test.ys' -- - -1. Executing Verilog-2005 frontend: mymul_test.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -15.2. Continuing show pass. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `submod.ys' -- - -1. Executing Verilog-2005 frontend: memdemo.v -Finding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. - - -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Parsing Verilog input from `mymul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). -Finding unused cells or wires in module \counter.. - -6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module counter. - Optimizing cells in module \counter. -Performed a total of 0 changes. -12.5. Executing OPT_MERGE pass (detect identical cells). - -7.2. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Executing Verilog-2005 frontend: mymul_map.v -Writing dot description to `macc_simple_test_02b.dot'. -Dumping module test to page 1. - -16. Executing Verilog-2005 frontend: macc_simple_xmap.v +3. Generating Graphviz representation of design. Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). @@ -34071,471 +33605,100 @@ Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Parsing Verilog input from `mymul_map.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -3.3. Continuing TECHMAP pass. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -12.6. Executing OPT_DFF pass (perform DFF optimizations). -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -17. Executing HIERARCHY pass (managing design hierarchy). - -17.1. Analyzing design hierarchy.. -Top module: \macc_16_16_32 - -17.2. Analyzing design hierarchy.. -Top module: \macc_16_16_32 -Removed 0 unused modules. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `example.ys' -- - -1. Executing Verilog-2005 frontend: example.v - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.texUsing template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\$mul for cells of type $mul. Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 4 unused wires. - - -2.7.7. Executing OPT_EXPR pass (perform const folding). -Optimizing module example. +Performed a total of 6 transformations. -6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. +3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -6.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2.7.13. Executing OPT_EXPR pass (perform const folding). + Analyzing memdemo.mem write port 0. + Analyzing memdemo.mem write port 1. + Analyzing memdemo.mem write port 2. + Analyzing memdemo.mem write port 3. + Analyzing memdemo.mem write port 4. -7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). -7.5. Executing OPT_MERGE pass (detect identical cells). +3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +Finding unused cells or wires in module \memdemo.. -4. Generating Graphviz representation of design. -Parsing Verilog input from `example.v' to AST representation. -Generating RTLIL representation for module `\example'. -Successfully finished Verilog frontend. +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. + -2. Generating Graphviz representation of design. +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. - -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.6. Executing OPT_DFF pass (perform DFF optimizations). - -5.21. Executing OPT_CLEAN pass (remove unused cells and wires). -Removed 0 unused cells and 1 unused wires. - -18. Generating Graphviz representation of design. -Writing dot description to `example_second.dot'. -Dumping module example to page 1. -Writing dot description to `macc_simple_xmap.dot'. -Dumping module macc_16_16_32 to page 1. - -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). - -5. Executing OPT pass (performing simple optimizations). -Finding unused cells or wires in module \test.. - -5.1. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `example_first.dot'. -Dumping module example to page 1. - -5.22. Executing OPT_EXPR pass (perform const folding). - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). - -End of script. Logfile hash: a39cb7f441, CPU: user 0.05s system 0.01s, MEM: 11.70 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 26% 7x clean (0 sec), 20% 7x show (0 sec), ... -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -))Creating decoders for process `\example.$proc$example.v:3$1'. - 1/1: $0\y[1:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Finding unused cells or wires in module \counter.. - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. - created $dff cell `$procdff$6' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. -Removing empty process `example.$proc$example.v:3$1'. -Cleaned up 1 empty switch. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.23. Finished OPT passes. (There is nothing left to do.) -Finding unused cells or wires in module \counter.. -Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } -Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } -Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap19$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } -Removed 0 unused cells and 56 unused wires. - -12. Generating Graphviz representation of design. - -6. Generating Graphviz representation of design. - -6.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). - -7. Executing OPT pass (performing simple optimizations). - -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg -7.1. Executing OPT_EXPR pass (perform const folding). -) - 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). - (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -Optimizing module memdemo. -Optimizing module example. - -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) - -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -4. Generating Graphviz representation of design. -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.defWriting dot description to `memory_01.dot'. -Dumping module test to page 1. - Optimizing cells in module \memdemo. -Performed a total of 0 changes. -2.7.11. Executing OPT_MERGE pass (detect identical cells). - -Removed 0 unused cells and 7 unused wires. +2.11. Executing OPT pass (performing simple optimizations). -4. Generating Graphviz representation of design. +2.11.1. Executing OPT_EXPR pass (perform const folding). 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +Performed a total of 6 transformations. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -fWriting dot description to `macc_xilinx_test1c.dot'. -Dumping module test1 to page 1. -)Optimizing module example. +3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + Analyzing memdemo.mem write port 0. + Analyzing memdemo.mem write port 1. + Analyzing memdemo.mem write port 2. + Analyzing memdemo.mem write port 3. + Analyzing memdemo.mem write port 4. -End of script. Logfile hash: 3f34ade637, CPU: user 0.03s system 0.01s, MEM: 12.30 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 30% 5x opt_expr (0 sec), 17% 5x opt_clean (0 sec), ... +3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Mapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] read interface: 1 $dff and 3 $mux cells. write interface: 12 write mux blocks. -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). - -5.2. Executing OPT_MERGE pass (detect identical cells). - 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -7.8. Executing OPT_EXPR pass (perform const folding). -)Writing dot description to `example_second.dot'. -Dumping module example to page 1. -Parsing Verilog input from `memdemo.v' to AST representation. -Generating RTLIL representation for module `\memdemo'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `techmap_01.dot'. -Dumping module test to page 1. -Top module: \memdemo - -2.1.2. Analyzing design hierarchy.. -Top module: \memdemo -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -)Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). - -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -End of script. Logfile hash: 49c16386d9, CPU: user 0.01s system 0.01s, MEM: 11.55 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 33% 1x techmap (0 sec), 31% 1x clean (0 sec), ... -Removed 0 redundant assignments. -Promoted 14 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -7.2. Executing OPT_MERGE pass (detect identical cells). -cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex simplified_rtlil.tex --interaction=nonstopmode -Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$35' with positive edge clock. -Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$36' with positive edge clock. -Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$37' with positive edge clock. -Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$38' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$46' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$47' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$48' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$49' with positive edge clock. - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -Finding unused cells or wires in module \counter.. -Removed 1 unused cells and 32 unused wires. - - -12.8. Executing OPT_EXPR pass (perform const folding). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `memdemo.$proc$memdemo.v:11$7'. -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -texFinding identical cells in module `\example'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Optimizing module example. - -5.2. Executing OPT_MERGE pass (detect identical cells). -Running muxtree optimizer on module \example.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. - dead port 2/2 on $mux $ternary$example.v:5$3. -Removed 1 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -) Optimizing cells in module \example. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Checking read port `\memory'[0] in module `\test': merging output FF to cell. -Checking read port `\memory'[1] in module `\test': merging output FF to cell. - -4.6. Executing OPT_CLEAN pass (remove unused cells and wires). -../../../../yosys cmos.ys -Finding identical cells in module `\example'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Optimizing module memdemo. - -Optimizing module memdemo. -Running muxtree optimizer on module \example.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. - dead port 2/2 on $mux $ternary$example.v:5$3. -Removed 1 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Optimizing module counter. - Optimizing cells in module \example. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). - -12.9. Rerunning OPT passes. (Maybe there is more to do..) - -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - -2.3. Executing FUTURE pass. - Optimizing cells in module \counter. -Performed a total of 0 changes. - -12.12. Executing OPT_MERGE pass (detect identical cells). -Optimizing module counter. -Finding unused cells or wires in module \memdemo.. - -2.7.13. Executing OPT_EXPR pass (perform const folding). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -7.5. Executing OPT_MERGE pass (detect identical cells). - -13. Generating Graphviz representation of design. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)Finding identical cells in module `\example'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). -)Finding identical cells in module `\example'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -12.13. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding unused cells or wires in module \test.. -Removed 2 unused cells and 18 unused wires. - - -4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 4 (address 2'00). + Merging ports 2, 3 (address 2'11). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 2 (address 2'00). +Consolidating read ports of memory memdemo.mem by address: +Consolidating write ports of memory memdemo.mem by address: + Merging ports 0, 1 (address 2'00). + Merging ports 0, 2 (address 2'00). +Consolidating write ports of memory memdemo.mem by address: -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Optimizing module memdemo. +Performed a total of 0 transformations. -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `macc_xilinx_test2c.dot'. -Dumping module test2 to page 1. +3.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. + Write port 0: non-transparent. + Write port 1: non-transparent. + Write port 2: non-transparent. + Write port 3: non-transparent. + Write port 4: non-transparent. +Checking read port `\mem'[1] in module `\memdemo': no output FF found. +Checking read port `\mem'[2] in module `\memdemo': no output FF found. +Checking read port `\mem'[3] in module `\memdemo': no output FF found. +Checking read port `\mem'[4] in module `\memdemo': no output FF found. +Checking read port address `\mem'[1] in module `\memdemo': no address FF found. +Checking read port address `\mem'[2] in module `\memdemo': no address FF found. +Checking read port address `\mem'[3] in module `\memdemo': no address FF found. +Checking read port address `\mem'[4] in module `\memdemo': no address FF found. -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +3.6. Executing OPT_CLEAN pass (remove unused cells and wires). 2.7.14. Finished OPT passes. (There is nothing left to do.) -Consolidating read ports of memory test.memory by address: -Consolidating write ports of memory test.memory by address: -Consolidating write ports of memory test.memory using sat-based resource sharing: - -4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -4.9. Executing OPT_CLEAN pass (remove unused cells and wires). 2.8. Executing WREDUCE pass (reducing word size of cells). +Optimizing module memdemo. -14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v -Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -7.8. Executing OPT_EXPR pass (perform const folding). -../../../../yosys submod.ys -Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. -Generating RTLIL representation for module `\DSP48_MACC'. -Successfully finished Verilog frontend. - -15. Executing TECHMAP pass (map to technology primitives). +2.11.2. Executing OPT_MERGE pass (detect identical cells). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). @@ -34546,103 +33709,78 @@ Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -12.15. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \example.. -Removed 1 unused cells and 4 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \test.. -Optimizing module counter. - (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.styFinding unused cells or wires in module \memdemo.. - -2.4. Executing OPT_EXPR pass (perform const folding). - -7.9. Finished OPT passes. (There is nothing left to do.) - -8. Executing FSM pass (extract and optimize FSM). - -8.1. Executing FSM_DETECT pass (finding FSMs in design). - -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v -Optimizing module example. -Optimizing module counter. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -2.11. Executing OPT pass (performing simple optimizations). - -12.16. Finished OPT passes. (There is nothing left to do.) - -2.11.1. Executing OPT_EXPR pass (perform const folding). - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 5 unused wires. +Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. + Write port 0: non-transparent. + Write port 1: non-transparent. + Write port 2: non-transparent. + Write port 3: non-transparent. + Write port 4: non-transparent. +Checking read port `\mem'[1] in module `\memdemo': no output FF found. +Checking read port `\mem'[2] in module `\memdemo': no output FF found. +Checking read port `\mem'[3] in module `\memdemo': no output FF found. +Checking read port `\mem'[4] in module `\memdemo': no output FF found. +Checking read port address `\mem'[1] in module `\memdemo': no address FF found. +Checking read port address `\mem'[2] in module `\memdemo': no address FF found. +Checking read port address `\mem'[3] in module `\memdemo': no address FF found. +Checking read port address `\mem'[4] in module `\memdemo': no address FF found. -13. Executing SPLITNETS pass (splitting up multi-bit signals). -Running muxtree optimizer on module \example.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +3.6. Executing OPT_CLEAN pass (remove unused cells and wires). + -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \example. -Performed a total of 0 changes. +3.10. Executing MEMORY_COLLECT pass (generating $mem cells). +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. -5.12. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \example.. -Removed 1 unused cells and 4 unused wires. +3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -5.8. Executing OPT_EXPR pass (perform const folding). - -8.2. Executing FSM_EXTRACT pass (extracting FSM from design). -Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_swap_ports'. -Successfully finished Verilog frontend. - -15.2. Continuing TECHMAP pass. -Using template $paramod\MYMUL\WIDTH=32'00000000000000000000000000000010 for cells of type MYMUL. -No more expansions possible. - -4.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\example'. +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -5.13. Executing OPT_DFF pass (perform DFF optimizations). -No more expansions possible. +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Mapping memory \mem in module \memdemo: + created 4 $dff cells and 0 static cells of width 4. +Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] + read interface: 1 $dff and 3 $mux cells. + write interface: 12 write mux blocks. -8.3. Executing FSM_OPT pass (simple optimizations of FSMs). +4. Executing OPT pass (performing simple optimizations). -8.4. Executing OPT_CLEAN pass (remove unused cells and wires). +4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. -Removed 0 unused cells and 2 unused wires. - -14. Generating Graphviz representation of design. - -2.11.2. Executing OPT_MERGE pass (detect identical cells). -) -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). + -4.10. Executing MEMORY_COLLECT pass (generating $mem cells). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +4.2. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 4 (address 2'00). + Merging ports 2, 3 (address 2'11). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 2 (address 2'00). +Consolidating read ports of memory memdemo.mem by address: +Consolidating write ports of memory memdemo.mem by address: + Merging ports 0, 1 (address 2'00). + Merging ports 0, 2 (address 2'00). +Consolidating write ports of memory memdemo.mem by address: -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). + -5. Executing OPT pass (performing simple optimizations). +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +Performed a total of 0 transformations. -5.1. Executing OPT_EXPR pass (perform const folding). +3.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. +4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -8.5. Executing FSM_OPT pass (simple optimizations of FSMs). +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -34651,73 +33789,39 @@ 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - -8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). - -8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). - -8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). - -9. Executing OPT pass (performing simple optimizations). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). - -9.1. Executing OPT_EXPR pass (perform const folding). -)Optimizing module memdemo. -Finding unused cells or wires in module \example.. - -5.15. Executing OPT_EXPR pass (perform const folding). - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). - - -16. Executing TECHMAP pass (map to technology primitives). - -16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). Finding unused cells or wires in module \memdemo.. -Optimizing module example. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \example.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Optimizing module counter. - Optimizing cells in module \example. -Performed a total of 0 changes. -5.12. Executing OPT_MERGE pass (detect identical cells). -Optimizing module test. - +2.11. Executing OPT pass (performing simple optimizations). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 4 (address 2'00). + Merging ports 2, 3 (address 2'11). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 2 (address 2'00). +Consolidating read ports of memory memdemo.mem by address: +Consolidating write ports of memory memdemo.mem by address: + Merging ports 0, 1 (address 2'00). + Merging ports 0, 2 (address 2'00). +Consolidating write ports of memory memdemo.mem by address: -9.2. Executing OPT_MERGE pass (detect identical cells). +3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -5.2. Executing OPT_MERGE pass (detect identical cells). -Optimizing module example. +2.11.1. Executing OPT_EXPR pass (perform const folding). 2.11.4. Finished fast OPT passes. +Performed a total of 0 transformations. -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). - -5.16. Finished OPT passes. (There is nothing left to do.) - -6. Generating Graphviz representation of design. +3.9. Executing OPT_CLEAN pass (remove unused cells and wires). 2.12. Printing statistics. -Finding identical cells in module `\test'. -Removed a total of 0 cells. +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 5 unused wires. + -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +3.10. Executing MEMORY_COLLECT pass (generating $mem cells). === memdemo === @@ -34739,455 +33843,56 @@ $xor 1 2.13. Executing CHECK pass (checking for obvious problems). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Finding identical cells in module `\counter'. +3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +4.6. Executing OPT_DFF pass (perform DFF optimizations). Checking module memdemo... Found and reported 0 problems. -7.9. Finished OPT passes. (There is nothing left to do.) - -8. Executing FSM pass (extract and optimize FSM). - -8.1. Executing FSM_DETECT pass (finding FSMs in design). - 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). -Finding identical cells in module `\example'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Performed a total of 0 transformations. - -3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Writing dot description to `example_third.dot'. -Dumping module example to page 1. - -Removed 0 unused cells and 10 unused wires. -Renaming module \test to \test_mapped. - -4. Executing Verilog-2005 frontend: mymul_test.v -Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_wrap'. -Generating RTLIL representation for module `\add_wrap'. -Successfully finished Verilog frontend. - -16.2. Continuing TECHMAP pass. -) -8.2. Executing FSM_EXTRACT pass (extracting FSM from design). -Parsing Verilog input from `mymul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -5. Executing MITER pass (creating miter circuit). -Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". - -6. Executing FLATTEN pass (flatten design). - -End of script. Logfile hash: 1968fcbfd1, CPU: user 0.02s system 0.01s, MEM: 12.58 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 34% 4x opt_expr (0 sec), 21% 3x show (0 sec), ... -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 28 unused wires. - - -7. Executing SAT pass (solving SAT problems in the circuit). - - -4.8. Executing OPT_EXPR pass (perform const folding). - -8.3. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.4. Executing OPT_CLEAN pass (remove unused cells and wires). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). - -Setting up SAT problem: -Final constraint equation: { } = { } -Imported 9 cells to SAT database. -Import proof-constraint: \trigger = 1'0 -Final proof equation: \trigger = 1'0 - -Solving problem with 107 variables and 283 clauses.. -Finding unused cells or wires in module \counter.. - -8.5. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). - -8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). - -8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). - -9. Executing OPT pass (performing simple optimizations). - -9.1. Executing OPT_EXPR pass (perform const folding). -Performed a total of 6 transformations. - -3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `cmos.ys' -- - -1. Executing Verilog-2005 frontend: cmos.v - Analyzing memdemo.mem write port 0. - Analyzing memdemo.mem write port 1. - Analyzing memdemo.mem write port 2. - Analyzing memdemo.mem write port 3. - Analyzing memdemo.mem write port 4. - -3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -SAT proof finished - no model found: SUCCESS! - - /$$$$$$ /$$$$$$$$ /$$$$$$$ - /$$__ $$ | $$_____/ | $$__ $$ - | $$ \ $$ | $$ | $$ \ $$ - | $$ | $$ | $$$$$ | $$ | $$ - | $$ | $$ | $$__/ | $$ | $$ - | $$/$$ $$ | $$ | $$ | $$ - | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ - \____ $$$|__/|________/|__/|_______/|__/ - \__/ - -8. Executing SPLITNETS pass (splitting up multi-bit signals). - -9. Generating Graphviz representation of design. Optimizing module memdemo. -Finding unused cells or wires in module \example.. -Parsing Verilog input from `cmos.v' to AST representation. -Generating RTLIL representation for module `\cmos_demo'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. - -4.9. Rerunning OPT passes. (Maybe there is more to do..) - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. - -5.15. Executing OPT_EXPR pass (perform const folding). -Top module: \cmos_demo - -2.1.2. Analyzing design hierarchy.. - Optimizing cells in module \test. - Consolidated identical input bits for $mux cell $procmux$31: - Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 - New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] - New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } - Consolidated identical input bits for $mux cell $procmux$22: - Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 - New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] - New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] } - Optimizing cells in module \test. -Performed a total of 2 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -../../../../yosys cmos.ys -Top module: \cmos_demo -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). -Optimizing module counter. - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -9.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Writing dot description to `counter_02.dot'. -Dumping module counter to page 1. - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). - -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -9.6. Executing OPT_DFF pass (perform DFF optimizations). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). - cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. - final dff cell mappings: - unmapped dff cell: $_DFF_N_ - \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); - unmapped dff cell: $_DFF_NN0_ - unmapped dff cell: $_DFF_NN1_ - unmapped dff cell: $_DFF_NP0_ - unmapped dff cell: $_DFF_NP1_ - unmapped dff cell: $_DFF_PN0_ - unmapped dff cell: $_DFF_PN1_ - unmapped dff cell: $_DFF_PP0_ - unmapped dff cell: $_DFF_PP1_ - unmapped dff cell: $_DFFE_NN_ - unmapped dff cell: $_DFFE_NP_ - unmapped dff cell: $_DFFE_PN_ - unmapped dff cell: $_DFFE_PP_ - unmapped dff cell: $_DFFSR_NNN_ - unmapped dff cell: $_DFFSR_NNP_ - unmapped dff cell: $_DFFSR_NPN_ - unmapped dff cell: $_DFFSR_NPP_ - unmapped dff cell: $_DFFSR_PNN_ - unmapped dff cell: $_DFFSR_PNP_ - unmapped dff cell: $_DFFSR_PPN_ - unmapped dff cell: $_DFFSR_PPP_ - -15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.styChecking read port `\mem'[0] in module `\memdemo': merging output FF to cell. - Write port 0: non-transparent. - Write port 1: non-transparent. - Write port 2: non-transparent. - Write port 3: non-transparent. - Write port 4: non-transparent. -Checking read port `\mem'[1] in module `\memdemo': no output FF found. -Checking read port `\mem'[2] in module `\memdemo': no output FF found. -Checking read port `\mem'[3] in module `\memdemo': no output FF found. -Checking read port `\mem'[4] in module `\memdemo': no output FF found. -Checking read port address `\mem'[1] in module `\memdemo': no address FF found. -Checking read port address `\mem'[2] in module `\memdemo': no address FF found. -Checking read port address `\mem'[3] in module `\memdemo': no address FF found. -Checking read port address `\mem'[4] in module `\memdemo': no address FF found. - -3.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Mapping DFF cells in module `\counter': - mapped 2 $_DFF_P_ cells to \DFF cells. - -16. Executing ABC pass (technology mapping using ABC). - -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. - -9.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. - -16.1.1. Executing ABC. -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 4 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. -Removed 12 unused cells and 26 unused wires. - - -2.6. Executing CHECK pass (checking for obvious problems). -Finding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. - - -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Optimizing module cmos_demo. -Finding unused cells or wires in module \counter.. - -9.8. Executing OPT_EXPR pass (perform const folding). - -2.3. Executing FUTURE pass. - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -4.12. Executing OPT_MERGE pass (detect identical cells). - -9.2. Executing OPT_MERGE pass (detect identical cells). -Optimizing module example. -Optimizing module test. - -Checking module memdemo... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -2.7.1. Executing OPT_EXPR pass (perform const folding). - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). - -2.4. Executing OPT_EXPR pass (perform const folding). - -5.16. Finished OPT passes. (There is nothing left to do.) -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.13. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.texFinding identical cells in module `\test'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -9.5. Executing OPT_MERGE pass (detect identical cells). - -6. Generating Graphviz representation of design. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texOptimizing module cmos_demo. -Optimizing module memdemo. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). - -2.7.2. Executing OPT_MERGE pass (detect identical cells). - -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module counter. + +Mapping memory \mem in module \memdemo: + created 4 $dff cells and 0 static cells of width 4. +Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] + read interface: 1 $dff and 3 $mux cells. + write interface: 12 write mux blocks. -9.9. Finished OPT passes. (There is nothing left to do.) -Finding unused cells or wires in module \cmos_demo.. -Removed 0 unused cells and 1 unused wires. -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 4 (address 2'00). - Merging ports 2, 3 (address 2'11). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 2 (address 2'00). -Consolidating read ports of memory memdemo.mem by address: -Consolidating write ports of memory memdemo.mem by address: - Merging ports 0, 1 (address 2'00). - Merging ports 0, 2 (address 2'00). -Consolidating write ports of memory memdemo.mem by address: +4. Executing OPT pass (performing simple optimizations). -3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +4.1. Executing OPT_EXPR pass (perform const folding). -9.6. Executing OPT_DFF pass (perform DFF optimizations). +4.2. Executing OPT_MERGE pass (detect identical cells). Performed a total of 0 transformations. -3.9. Executing OPT_CLEAN pass (remove unused cells and wires). - - -2.6. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 2 unused wires. -Finding unused cells or wires in module \memdemo.. - - -5.15. Executing OPT_EXPR pass (perform const folding). - -9.7. Executing OPT_CLEAN pass (remove unused cells and wires). - -4.15. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \counter.. +3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). -10. Generating Graphviz representation of design. - 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -9.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.16. Rerunning OPT passes. (Maybe there is more to do..) -Optimizing module cmos_demo. - -5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -2.7.2. Executing OPT_MERGE pass (detect identical cells). -Running muxtree optimizer on module \test.. +4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Optimizing module memdemo. +Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. - No muxes found in this module. + Evaluating internal representation of mux trees. + Analyzing evaluation results. Removed 0 multiplexer ports. + -5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.19. Executing OPT_MERGE pass (detect identical cells). +4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Mapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] @@ -35197,165 +33902,49 @@ 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). -Using template $paramod$81421da67e3cf07e7ac8b39f28efc75ee750e82a\mul_wrap for cells of type $mul. -Optimizing module memdemo. -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.20. Executing OPT_DFF pass (perform DFF optimizations). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `cmos.ys' -- - -1. Executing Verilog-2005 frontend: cmos.v -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. - -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -4.16. Finished OPT passes. (There is nothing left to do.) -Running muxtree optimizer on module \cmos_demo.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \cmos_demo. +2.11.2. Executing OPT_MERGE pass (detect identical cells). + Optimizing cells in module \memdemo. Performed a total of 0 changes. -2.7.5. Executing OPT_MERGE pass (detect identical cells). - -5. Generating Graphviz representation of design. -Optimizing module counter. -Parsing Verilog input from `cmos.v' to AST representation. -Generating RTLIL representation for module `\cmos_demo'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Top module: \cmos_demo - -2.1.2. Analyzing design hierarchy.. -Top module: \cmos_demo -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). - -5.21. Executing OPT_CLEAN pass (remove unused cells and wires). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -9.9. Finished OPT passes. (There is nothing left to do.) -Finding identical cells in module `\cmos_demo'. +4.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -10. Generating Graphviz representation of design. - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Finding unused cells or wires in module \test.. - -5.22. Executing OPT_EXPR pass (perform const folding). - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `submod.ys' -- - -1. Executing Verilog-2005 frontend: memdemo.v - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.texFinding unused cells or wires in module \cmos_demo.. - -2.7.7. Executing OPT_EXPR pass (perform const folding). +4.6. Executing OPT_DFF pass (perform DFF optimizations). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 28 unused wires. Finding identical cells in module `\memdemo'. - -Removed a total of 4 cells. +Removed a total of 0 cells. -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 4.2. Executing OPT_MERGE pass (detect identical cells). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -2.7.5. Executing OPT_MERGE pass (detect identical cells). -Optimizing module test. - -5.23. Finished OPT passes. (There is nothing left to do.) - -6. Generating Graphviz representation of design. -Optimizing module cmos_demo. +Performed a total of 6 transformations. -2.3. Executing FUTURE pass. -Optimizing module cmos_demo. +3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -2.7.8. Finished OPT passes. (There is nothing left to do.) +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). + Analyzing memdemo.mem write port 0. + Analyzing memdemo.mem write port 1. + Analyzing memdemo.mem write port 2. + Analyzing memdemo.mem write port 3. + Analyzing memdemo.mem write port 4. -2.8. Executing WREDUCE pass (reducing word size of cells). +3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `memory_02.dot'. -Dumping module test to page 1. - -2.4. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. + Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -35364,278 +33953,47 @@ 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + +4.2. Executing OPT_MERGE pass (detect identical cells). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). - -End of script. Logfile hash: da34c3e279, CPU: user 0.03s system 0.01s, MEM: 11.81 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 25% 5x opt_expr (0 sec), 19% 5x opt_clean (0 sec), ... -Finding unused cells or wires in module \cmos_demo.. - -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -2.11. Executing OPT pass (performing simple optimizations). - -2.11.1. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `mymul.dot'. -Dumping module test_mapped to page 1. -Optimizing module cmos_demo. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). - -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 4 unused wires. - - -2.7.7. Executing OPT_EXPR pass (perform const folding). -) -End of script. Logfile hash: 449524bce2, CPU: user 0.02s system 0.01s, MEM: 13.71 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 24% 2x clean (0 sec), 16% 1x techmap (0 sec), ... -Writing dot description to `example_third.dot'. -Dumping module example to page 1. -)Writing dot description to `counter_01.dot'. -Dumping module counter to page 1. - -11. Executing TECHMAP pass (map to technology primitives). - -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v -Finding unused cells or wires in module \cmos_demo.. -Removed 0 unused cells and 1 unused wires. - - -2.6. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). Optimizing module memdemo. -2.7.1. Executing OPT_EXPR pass (perform const folding). - -End of script. Logfile hash: 1968fcbfd1, CPU: user 0.02s system 0.02s, MEM: 12.36 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 37% 4x opt_expr (0 sec), 17% 3x show (0 sec), ... - -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) +4.9. Rerunning OPT passes. (Maybe there is more to do..) -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Finding unused cells or wires in module \memdemo.. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. - + -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Optimizing module cmos_demo. +4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -2.11.2. Executing OPT_MERGE pass (detect identical cells). +2.11.4. Finished fast OPT passes. Optimizing cells in module \memdemo. Performed a total of 0 changes. -2.7.11. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. - -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `counter_01.dot'. -Dumping module counter to page 1. -Optimizing module cmos_demo. - -2.7.2. Executing OPT_MERGE pass (detect identical cells). -../../../../yosys mulshift_test.ys -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. - -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \cmos_demo.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \cmos_demo. -Performed a total of 0 changes. - -2.7.5. Executing OPT_MERGE pass (detect identical cells). - (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfgFinding unused cells or wires in module \cmos_demo.. - -2.11.4. Finished fast OPT passes. +4.12. Executing OPT_MERGE pass (detect identical cells). 2.12. Printing statistics. -) - -=== cmos_demo === - - Number of wires: 3 - Number of wire bits: 4 - Number of public wires: 3 - Number of public wire bits: 4 - Number of ports: 3 - Number of port bits: 4 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 1 - $add 1 - -2.13. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -11. Executing TECHMAP pass (map to technology primitives). -Finding identical cells in module `\cmos_demo'. +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). - -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -fcd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex verilog_flow.tex --interaction=nonstopmode - -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -)Writing dot description to `memdemo_00.dot'. -Dumping module memdemo to page 1. - -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -6. Generating Graphviz representation of design. -Finding unused cells or wires in module \cmos_demo.. - -2.7.7. Executing OPT_EXPR pass (perform const folding). -)))make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' -Optimizing module cmos_demo. - -2.7.8. Finished OPT passes. (There is nothing left to do.) - -2.8. Executing WREDUCE pass (reducing word size of cells). +4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 28 unused wires. - -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `memdemo_01.dot'. -Dumping selected parts of module memdemo to page 1. 4.8. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -7. Generating Graphviz representation of design. -Parsing Verilog input from `memdemo.v' to AST representation. -Generating RTLIL representation for module `\memdemo'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Top module: \memdemo - -2.1.2. Analyzing design hierarchy.. -Top module: \memdemo -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 14 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Finding unused cells or wires in module \cmos_demo.. - -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -2.11. Executing OPT pass (performing simple optimizations). - -2.11.1. Executing OPT_EXPR pass (perform const folding). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Writing dot description to `memdemo_02.dot'. -Dumping selected parts of module memdemo to page 1. - -8. Generating Graphviz representation of design. -)Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$35' with positive edge clock. -Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$36' with positive edge clock. -Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$37' with positive edge clock. -Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$38' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$46' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$47' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$48' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$49' with positive edge clock. - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `memdemo.$proc$memdemo.v:11$7'. -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. - -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Using template $paramod$88aad6f8473fb7e4e5fbfb8335ddebad03429eaa\add_wrap for cells of type $add. -No more expansions possible. -Optimizing module memdemo. - -4.9. Rerunning OPT passes. (Maybe there is more to do..) - -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +4.6. Executing OPT_DFF pass (perform DFF optimizations). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -35643,153 +34001,7 @@ Removed 0 multiplexer ports. -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -) Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -4.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. - -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `memdemo_03.dot'. -Dumping selected parts of module memdemo to page 1. - -9. Generating Graphviz representation of design. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex../../../../yosys submod.ys -)Optimizing module memdemo. -)Finding unused cells or wires in module \cmos_demo.. - -2.3. Executing FUTURE pass. - -2.11.4. Finished fast OPT passes. - -2.12. Printing statistics. -Finding unused cells or wires in module \memdemo.. - -2.7.13. Executing OPT_EXPR pass (perform const folding). - -=== cmos_demo === - - Number of wires: 3 - Number of wire bits: 4 - Number of public wires: 3 - Number of public wire bits: 4 - Number of ports: 3 - Number of port bits: 4 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 1 - $add 1 - -2.13. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -2.4. Executing OPT_EXPR pass (perform const folding). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.texOptimizing module memdemo. - -2.7.14. Finished OPT passes. (There is nothing left to do.) - -2.8. Executing WREDUCE pass (reducing word size of cells). -)Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). - -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.texOptimizing module memdemo. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.13. Executing OPT_DFF pass (perform DFF optimizations). -Finding unused cells or wires in module \memdemo.. - -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -2.11. Executing OPT pass (performing simple optimizations). - -2.11.1. Executing OPT_EXPR pass (perform const folding). - -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). - (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.styOptimizing module memdemo. - -2.11.2. Executing OPT_MERGE pass (detect identical cells). -)Finding unused cells or wires in module \memdemo.. - -4.15. Executing OPT_EXPR pass (perform const folding). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.texFinding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.texOptimizing module memdemo. - -4.16. Finished OPT passes. (There is nothing left to do.) - -5. Generating Graphviz representation of design. -Writing dot description to `memdemo_04.dot'. -Dumping selected parts of module memdemo to page 1. - -10. Generating Graphviz representation of design. -) -Removed 0 unused cells and 17 unused wires. - -17. Executing EXTRACT pass (map subcircuits to cells). - -17.1. Creating graphs for SubCircuit library. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.texWriting dot description to `memdemo_00.dot'. -Dumping module memdemo to page 1. - -6. Generating Graphviz representation of design. -Finding unused cells or wires in module \memdemo.. -Removed 12 unused cells and 26 unused wires. -Writing dot description to `memdemo_01.dot'. -Dumping selected parts of module memdemo to page 1. - - -2.6. Executing CHECK pass (checking for obvious problems). - -7. Generating Graphviz representation of design. -Writing dot description to `memdemo_05.dot'. -Dumping selected parts of module memdemo to page 1. -Checking module memdemo... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). - -End of script. Logfile hash: ade9f67caf, CPU: user 0.08s system 0.01s, MEM: 13.12 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 22% 9x opt_expr (0 sec), 21% 9x opt_clean (0 sec), ... -Finding unused cells or wires in module \memdemo.. - -2.11.4. Finished fast OPT passes. - -2.12. Printing statistics. -Optimizing module memdemo. +4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). === memdemo === @@ -35811,249 +34023,38 @@ $xor 1 2.13. Executing CHECK pass (checking for obvious problems). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -2.7.2. Executing OPT_MERGE pass (detect identical cells). +4.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +4.13. Executing OPT_DFF pass (perform DFF optimizations). Checking module memdemo... Found and reported 0 problems. 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). -Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Writing dot description to `memdemo_02.dot'. -Dumping selected parts of module memdemo to page 1. -8. Generating Graphviz representation of design. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. - -Removed a total of 4 cells. - -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -2.7.5. Executing OPT_MERGE pass (detect identical cells). -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.texFinding identical cells in module `\memdemo'. Removed a total of 0 cells. -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `mulshift_test.ys' -- - -1. Executing Verilog-2005 frontend: mulshift_test.v -Performed a total of 6 transformations. - -3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -) Analyzing memdemo.mem write port 0. - Analyzing memdemo.mem write port 1. - Analyzing memdemo.mem write port 2. - Analyzing memdemo.mem write port 3. - Analyzing memdemo.mem write port 4. - -3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.texWriting dot description to `memdemo_03.dot'. -Dumping selected parts of module memdemo to page 1. - -9. Generating Graphviz representation of design. -Parsing Verilog input from `mulshift_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -)Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Executing Verilog-2005 frontend: mulshift_map.v - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -texParsing Verilog input from `mulshift_map.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -3.3. Continuing TECHMAP pass. -)Writing dot description to `memdemo_04.dot'. -Dumping selected parts of module memdemo to page 1. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex -10. Generating Graphviz representation of design. -Creating needle graph needle_DSP48_MACC. -Creating haystack graph haystack_$__add_wrapper. -Creating haystack graph haystack_$__mul_wrapper. -Creating haystack graph haystack_DSP48_MACC. -Creating haystack graph haystack_test1. -Creating haystack graph haystack_test2. - -17.2. Running solver from SubCircuit library. -)Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -texSolving for needle_DSP48_MACC in haystack_$__add_wrapper. -Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. -Solving for needle_DSP48_MACC in haystack_DSP48_MACC. -Solving for needle_DSP48_MACC in haystack_test1. -Solving for needle_DSP48_MACC in haystack_test2. -Found 3 matches. - -17.3. Substitute SubCircuits with cells. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -Match #0: (needle_DSP48_MACC in haystack_test1) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$35 - -Match #1: (needle_DSP48_MACC in haystack_test1) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$36 - -Match #2: (needle_DSP48_MACC in haystack_test2) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$37 -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 4 unused wires. - - -2.7.7. Executing OPT_EXPR pass (perform const folding). -)Writing dot description to `memdemo_05.dot'. -Dumping selected parts of module memdemo to page 1. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)Optimizing module memdemo. - -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) - -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -End of script. Logfile hash: ade9f67caf, CPU: user 0.08s system 0.02s, MEM: 15.07 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 21% 9x opt_expr (0 sec), 19% 9x opt_clean (0 sec), ... - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.texRunning muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -2.7.11. Executing OPT_MERGE pass (detect identical cells). -../../../../yosys submod.ys -Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. +4.6. Executing OPT_DFF pass (perform DFF optimizations). +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + -3.2. Continuing TECHMAP pass. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +4. Executing ABC pass (technology mapping using ABC). Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. @@ -36070,267 +34071,56 @@ Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. - - -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Finding unused cells or wires in module \memdemo.. - -2.7.13. Executing OPT_EXPR pass (perform const folding). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 4 (address 2'00). - Merging ports 2, 3 (address 2'11). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 2 (address 2'00). -Consolidating read ports of memory memdemo.mem by address: -Consolidating write ports of memory memdemo.mem by address: - Merging ports 0, 1 (address 2'00). - Merging ports 0, 2 (address 2'00). -Consolidating write ports of memory memdemo.mem by address: - -3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. -3.9. Executing OPT_CLEAN pass (remove unused cells and wires). +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. -2.7.14. Finished OPT passes. (There is nothing left to do.) - -2.8. Executing WREDUCE pass (reducing word size of cells). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). - -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Removed 0 unused cells and 6 unused wires. - -18. Generating Graphviz representation of design. -../../../../yosys addshift_test.ys -Finding unused cells or wires in module \memdemo.. - -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -)) -2.11. Executing OPT pass (performing simple optimizations). - -2.11.1. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `macc_xilinx_test1d.dot'. -Dumping module test1 to page 1. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex -19. Generating Graphviz representation of design. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +4.9. Rerunning OPT passes. (Maybe there is more to do..) --- Executing script file `submod.ys' -- +4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -1. Executing Verilog-2005 frontend: memdemo.v -Optimizing module memdemo. +4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +4.12. Executing OPT_MERGE pass (detect identical cells). -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 5 unused wires. +Removed 0 unused cells and 28 unused wires. -3.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -Mapping memory \mem in module \memdemo: - created 4 $dff cells and 0 static cells of width 4. -Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] - read interface: 1 $dff and 3 $mux cells. - write interface: 12 write mux blocks. - -4. Executing OPT pass (performing simple optimizations). - -4.1. Executing OPT_EXPR pass (perform const folding). +4.8. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -2.11.4. Finished fast OPT passes. -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - -2.12. Printing statistics. - - -4. Executing ABC pass (technology mapping using ABC). -) -=== memdemo === - - Number of wires: 18 - Number of wire bits: 58 - Number of public wires: 5 - Number of public wire bits: 13 - Number of ports: 3 - Number of port bits: 9 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 11 - $add 4 - $dff 3 - $mem_v2 1 - $mux 1 - $reduce_bool 1 - $xor 1 - -2.13. Executing CHECK pass (checking for obvious problems). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.texParsing Verilog input from `memdemo.v' to AST representation. -Generating RTLIL representation for module `\memdemo'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Checking module memdemo... -Found and reported 0 problems. - -3. Executing MEMORY pass. -Top module: \memdemo - -2.1.2. Analyzing design hierarchy.. - -3.1. Executing OPT_MEM pass (optimize memories). -Top module: \memdemo -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). -Performed a total of 0 transformations. - -3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). - -4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 14 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. - -4.1.1. Executing ABC. -Writing dot description to `macc_xilinx_test2d.dot'. -Dumping module test2 to page 1. -) -20. Executing TECHMAP pass (map to technology primitives). - -20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.texParsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. -Generating RTLIL representation for module `\$__mul_wrapper'. -Generating RTLIL representation for module `\$__add_wrapper'. -Successfully finished Verilog frontend. - -20.2. Continuing TECHMAP pass. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -xUsing template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\$__mul_wrapper for cells of type $__mul_wrapper. -Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `submod.ys' -- - -1. Executing Verilog-2005 frontend: memdemo.v +4.13. Executing OPT_DFF pass (perform DFF optimizations). -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$35' with positive edge clock. -Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$36' with positive edge clock. -Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$37' with positive edge clock. -Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$38' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$46' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$47' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$48' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$49' with positive edge clock. +4.15. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. + -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -) -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `memdemo.$proc$memdemo.v:11$7'. -Cleaned up 0 empty switches. +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 28 unused wires. + -2.2.12. Executing OPT_EXPR pass (perform const folding). +4.8. Executing OPT_EXPR pass (perform const folding). -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfgPerformed a total of 6 transformations. +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) Analyzing memdemo.mem write port 0. +Optimizing module memdemo. + Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. Analyzing memdemo.mem write port 3. @@ -36339,100 +34129,28 @@ 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.texOptimizing module memdemo. - - -4.2. Executing OPT_MERGE pass (detect identical cells). -Optimizing module memdemo. - -2.3. Executing FUTURE pass. -)Parsing Verilog input from `memdemo.v' to AST representation. -Generating RTLIL representation for module `\memdemo'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Top module: \memdemo - -2.1.2. Analyzing design hierarchy.. -Top module: \memdemo -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -Removed 0 redundant assignments. -Promoted 14 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -)Converted 0 switches. -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +4.9. Rerunning OPT passes. (Maybe there is more to do..) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex -2.4. Executing OPT_EXPR pass (perform const folding). -)Running muxtree optimizer on module \memdemo.. +4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Optimizing module memdemo. +Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. -4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.texChecking read port `\mem'[0] in module `\memdemo': merging output FF to cell. - Write port 0: non-transparent. - Write port 1: non-transparent. - Write port 2: non-transparent. - Write port 3: non-transparent. - Write port 4: non-transparent. -Checking read port `\mem'[1] in module `\memdemo': no output FF found. -Checking read port `\mem'[2] in module `\memdemo': no output FF found. -Checking read port `\mem'[3] in module `\memdemo': no output FF found. -Checking read port `\mem'[4] in module `\memdemo': no output FF found. -Checking read port address `\mem'[1] in module `\memdemo': no address FF found. -Checking read port address `\mem'[2] in module `\memdemo': no address FF found. -Checking read port address `\mem'[3] in module `\memdemo': no address FF found. -Checking read port address `\mem'[4] in module `\memdemo': no address FF found. +4.16. Finished OPT passes. (There is nothing left to do.) + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -3.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\$__add_wrapper for cells of type $__add_wrapper. -No more expansions possible. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -xFinding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. - +4.12. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \memdemo.. -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +4.15. Executing OPT_EXPR pass (perform const folding). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). @@ -36448,295 +34166,15 @@ Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -4.5. Executing OPT_MERGE pass (detect identical cells). - -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltxcd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_flow.tex --interaction=nonstopmode -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). -Using template $paramod$fb3c811cfd9dc2fc74fe40190dfcd365f04584f7\MYMUL for cells of type MYMUL. -No more expansions possible. -) -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). - -Removed 0 unused cells and 16 unused wires. - -4. Generating Graphviz representation of design. - -4.1. Executing Verilog-2005 frontend: sym_mul_cells.v -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - - -4. Executing ABC pass (technology mapping using ABC). -Parsing Verilog input from `sym_mul_cells.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -4.2. Continuing show pass. -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 5 unused wires. - - -3.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). - -4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. - -Removed 0 unused cells and 14 unused wires. - -21. Generating Graphviz representation of design. -Mapping memory \mem in module \memdemo: - created 4 $dff cells and 0 static cells of width 4. -Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] - read interface: 1 $dff and 3 $mux cells. - write interface: 12 write mux blocks. - -4. Executing OPT pass (performing simple optimizations). - -4.1. Executing OPT_EXPR pass (perform const folding). -Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$35' with positive edge clock. -Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$36' with positive edge clock. -Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$37' with positive edge clock. -Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$38' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$46' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$47' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$48' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$49' with positive edge clock. - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `memdemo.$proc$memdemo.v:11$7'. -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 28 unused wires. - - -4.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module memdemo. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module memdemo. - -2.3. Executing FUTURE pass. - -2.4. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. -Removed 12 unused cells and 26 unused wires. - - -2.6. Executing CHECK pass (checking for obvious problems). Optimizing module memdemo. -Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. - -4.1.1. Executing ABC. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Optimizing module memdemo. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Checking module memdemo... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). -)Optimizing module memdemo. - - -4.2. Executing OPT_MERGE pass (detect identical cells). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -4.12. Executing OPT_MERGE pass (detect identical cells). -Optimizing module memdemo. - -2.7.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -) Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). -Finding unused cells or wires in module \memdemo.. -Removed 12 unused cells and 26 unused wires. - - -2.6. Executing CHECK pass (checking for obvious problems). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -Finding identical cells in module `\memdemo'. - -Removed a total of 4 cells. - -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -2.7.5. Executing OPT_MERGE pass (detect identical cells). -Checking module memdemo... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -) -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `mulshift.dot'. -Dumping module test to page 1. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) - --- Executing script file `addshift_test.ys' -- - -1. Executing Verilog-2005 frontend: addshift_test.v - -End of script. Logfile hash: e40f1e9ccc, CPU: user 0.02s system 0.00s, MEM: 12.30 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 28% 1x techmap (0 sec), 27% 3x clean (0 sec), ... - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `addshift_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.texTop module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: addshift_map.v - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.texFinding unused cells or wires in module \memdemo.. - -4.15. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `addshift_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.texFinding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 28 unused wires. - - -4.8. Executing OPT_EXPR pass (perform const folding). -Using template $paramod$ba28896eb640c0d0dd7116971c6c5dc347170a6c\$add for cells of type $add. -No more expansions possible. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x)Writing dot description to `macc_xilinx_test1e.dot'. -Dumping module test1 to page 1. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -22. Generating Graphviz representation of design. -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex levels_of_abstraction.tex --interaction=nonstopmode -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 4 unused wires. - - -2.7.7. Executing OPT_EXPR pass (perform const folding). -)Optimizing module memdemo. - -4.9. Rerunning OPT passes. (Maybe there is more to do..) - -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -texWriting dot description to `macc_xilinx_test2e.dot'. -Dumping module test2 to page 1. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -36745,146 +34183,58 @@ 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Optimizing module memdemo. -) Optimizing cells in module \memdemo. + Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) - -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -23. Generating Graphviz representation of design. -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -) Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -2.7.11. Executing OPT_MERGE pass (detect identical cells). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -)Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). -Writing dot description to `macc_xilinx_xmap.dot'. -Dumping module DSP48_MACC to page 1. - -End of script. Logfile hash: 750c951a95, CPU: user 0.13s system 0.00s, MEM: 13.31 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 37% 12x clean (0 sec), 17% 5x techmap (0 sec), ... -)Finding unused cells or wires in module \memdemo.. - -2.7.13. Executing OPT_EXPR pass (perform const folding). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.texOptimizing module memdemo. - -Removed 0 unused cells and 7 unused wires. - -4. Generating Graphviz representation of design. - -2.7.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \memdemo.. - -4.15. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `addshift.dot'. -Dumping module test to page 1. - -End of script. Logfile hash: 99575363c1, CPU: user 0.00s system 0.01s, MEM: 10.90 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 30% 1x clean (0 sec), 25% 3x read_verilog (0 sec), ... Optimizing module memdemo. -Finding identical cells in module `\memdemo'. - -Removed a total of 4 cells. - -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 4.16. Finished OPT passes. (There is nothing left to do.) - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -2.7.5. Executing OPT_MERGE pass (detect identical cells). -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -)Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.texOptimizing module memdemo. -Optimizing module memdemo. - -2.7.14. Finished OPT passes. (There is nothing left to do.) - -2.8. Executing WREDUCE pass (reducing word size of cells). - -4.16. Finished OPT passes. (There is nothing left to do.) -make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' +5. Generating Graphviz representation of design. Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 4 unused wires. +Removed 0 unused cells and 5 unused wires. -2.7.7. Executing OPT_EXPR pass (perform const folding). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). - -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module memdemo. -)Finding unused cells or wires in module \memdemo.. - -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) +3.10. Executing MEMORY_COLLECT pass (generating $mem cells). -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. + Write port 0: non-transparent. + Write port 1: non-transparent. + Write port 2: non-transparent. + Write port 3: non-transparent. + Write port 4: non-transparent. +Checking read port `\mem'[1] in module `\memdemo': no output FF found. +Checking read port `\mem'[2] in module `\memdemo': no output FF found. +Checking read port `\mem'[3] in module `\memdemo': no output FF found. +Checking read port `\mem'[4] in module `\memdemo': no output FF found. +Checking read port address `\mem'[1] in module `\memdemo': no address FF found. +Checking read port address `\mem'[2] in module `\memdemo': no address FF found. +Checking read port address `\mem'[3] in module `\memdemo': no address FF found. +Checking read port address `\mem'[4] in module `\memdemo': no address FF found. -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. +3.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. -2.7.11. Executing OPT_MERGE pass (detect identical cells). +4.15. Executing OPT_EXPR pass (perform const folding). +Mapping memory \mem in module \memdemo: + created 4 $dff cells and 0 static cells of width 4. +Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] + read interface: 1 $dff and 3 $mux cells. + write interface: 12 write mux blocks. -2.11. Executing OPT pass (performing simple optimizations). +4. Executing OPT pass (performing simple optimizations). -2.11.1. Executing OPT_EXPR pass (perform const folding). +4.1. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. @@ -36912,110 +34262,32 @@ Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. -11.2. Continuing TECHMAP pass. -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module memdemo. - -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \memdemo.. - -2.7.13. Executing OPT_EXPR pass (perform const folding). -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' +3.2. Continuing TECHMAP pass. Finding unused cells or wires in module \memdemo.. -2.11.4. Finished fast OPT passes. - -2.12. Printing statistics. - -=== memdemo === - - Number of wires: 18 - Number of wire bits: 58 - Number of public wires: 5 - Number of public wire bits: 13 - Number of ports: 3 - Number of port bits: 9 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 11 - $add 4 - $dff 3 - $mem_v2 1 - $mux 1 - $reduce_bool 1 - $xor 1 - -2.13. Executing CHECK pass (checking for obvious problems). -Checking module memdemo... -Found and reported 0 problems. - -3. Executing MEMORY pass. - -3.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. - -3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.styUsing template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. +4.15. Executing OPT_EXPR pass (perform const folding). 5. Executing SUBMOD pass (moving cells to submodules as requested). 5.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -11.2. Continuing TECHMAP pass. -Performed a total of 6 transformations. +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Optimizing module memdemo. -3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - Analyzing memdemo.mem write port 0. - Analyzing memdemo.mem write port 1. - Analyzing memdemo.mem write port 2. - Analyzing memdemo.mem write port 3. - Analyzing memdemo.mem write port 4. +4.16. Finished OPT passes. (There is nothing left to do.) +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). +5. Generating Graphviz representation of design. +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. + -3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +Optimizing module memdemo. Finding unused cells or wires in module \memdemo.. 5.2. Continuing SUBMOD pass. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. + +4.16. Finished OPT passes. (There is nothing left to do.) Creating submodule scramble (\scramble) of module \memdemo. signal \d: input \d signal \clk: input \clk @@ -37056,29 +34328,38 @@ cell $add$memdemo.v:13$27 ($add) cell $add$memdemo.v:13$24 ($add) cell $add$memdemo.v:13$21 ($add) -) -6. Executing SUBMOD pass (moving cells to submodules as requested). -5. Executing SUBMOD pass (moving cells to submodules as requested). +6. Executing SUBMOD pass (moving cells to submodules as requested). 6.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 4 (address 2'00). + Merging ports 2, 3 (address 2'11). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 2 (address 2'00). +Consolidating read ports of memory memdemo.mem by address: +Consolidating write ports of memory memdemo.mem by address: + Merging ports 0, 1 (address 2'00). + Merging ports 0, 2 (address 2'00). +Consolidating write ports of memory memdemo.mem by address: -5.1. Executing OPT_CLEAN pass (remove unused cells and wires). +3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.texUsing template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. -)Finding unused cells or wires in module \memdemo.. +3.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 14 unused wires. 6.2. Continuing SUBMOD pass. -Finding unused cells or wires in module \memdemo.. +Optimizing module memdemo. + -5.2. Continuing SUBMOD pass. -)Creating submodule outstage (\outstage) of module \memdemo. +4.2. Executing OPT_MERGE pass (detect identical cells). +Creating submodule outstage (\outstage) of module \memdemo. signal \clk: input \clk signal $memory\mem$rdmux[0][0][0]$a$80: internal signal $memory\mem$rdmux[0][0][0]$b$81: internal @@ -37097,54 +34378,43 @@ 7. Executing SUBMOD pass (moving cells to submodules as requested). 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -texOptimizing module memdemo. - -2.7.14. Finished OPT passes. (There is nothing left to do.) -Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. - Write port 0: non-transparent. - Write port 1: non-transparent. - Write port 2: non-transparent. - Write port 3: non-transparent. - Write port 4: non-transparent. -Checking read port `\mem'[1] in module `\memdemo': no output FF found. -Checking read port `\mem'[2] in module `\memdemo': no output FF found. -Checking read port `\mem'[3] in module `\memdemo': no output FF found. -Checking read port `\mem'[4] in module `\memdemo': no output FF found. -Checking read port address `\mem'[1] in module `\memdemo': no address FF found. -Checking read port address `\mem'[2] in module `\memdemo': no address FF found. -Checking read port address `\mem'[3] in module `\memdemo': no address FF found. -Checking read port address `\mem'[4] in module `\memdemo': no address FF found. +4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 5 unused wires. +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -3.6. Executing OPT_CLEAN pass (remove unused cells and wires). +4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + -2.8. Executing WREDUCE pass (reducing word size of cells). -)Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). +3.10. Executing MEMORY_COLLECT pass (generating $mem cells). -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.texFinding unused cells or wires in module \memdemo.. +4.5. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. 7.2. Continuing SUBMOD pass. -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. -)Finding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. - -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +5. Executing SUBMOD pass (moving cells to submodules as requested). + +5.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Mapping memory \mem in module \memdemo: + created 4 $dff cells and 0 static cells of width 4. +Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] + read interface: 1 $dff and 3 $mux cells. + write interface: 12 write mux blocks. Creating submodule selstage (\selstage) of module \memdemo. signal \d: input \d signal \s1: input \s1 @@ -37157,44 +34427,18 @@ cell $ternary$memdemo.v:14$33 ($mux) cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -texFinding unused cells or wires in module \memdemo.. +4. Executing OPT pass (performing simple optimizations). -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +4.1. Executing OPT_EXPR pass (perform const folding). 8. Generating Graphviz representation of design. - -2.11. Executing OPT pass (performing simple optimizations). - -2.11.1. Executing OPT_EXPR pass (perform const folding). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 4 (address 2'00). - Merging ports 2, 3 (address 2'11). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 2 (address 2'00). -Consolidating read ports of memory memdemo.mem by address: -Consolidating write ports of memory memdemo.mem by address: - Merging ports 0, 1 (address 2'00). - Merging ports 0, 2 (address 2'00). -Consolidating write ports of memory memdemo.mem by address: - -3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -3.9. Executing OPT_CLEAN pass (remove unused cells and wires). - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.styOptimizing module memdemo. -)Writing dot description to `submod_00.dot'. -Dumping module memdemo to page 1. - -2.11.2. Executing OPT_MERGE pass (detect identical cells). - -9. Generating Graphviz representation of design. -)Finding identical cells in module `\memdemo'. +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +4.6. Executing OPT_DFF pass (perform DFF optimizations). +Finding unused cells or wires in module \memdemo.. + +5.2. Continuing SUBMOD pass. Creating submodule scramble (\scramble) of module \memdemo. signal \d: input \d signal \clk: input \clk @@ -37239,60 +34483,14 @@ 6. Executing SUBMOD pass (moving cells to submodules as requested). 6.1. Executing OPT_CLEAN pass (remove unused cells and wires). -)Finding unused cells or wires in module \memdemo.. - -2.11.4. Finished fast OPT passes. - -2.12. Printing statistics. - -=== memdemo === - - Number of wires: 18 - Number of wire bits: 58 - Number of public wires: 5 - Number of public wire bits: 13 - Number of ports: 3 - Number of port bits: 9 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 11 - $add 4 - $dff 3 - $mem_v2 1 - $mux 1 - $reduce_bool 1 - $xor 1 - -2.13. Executing CHECK pass (checking for obvious problems). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.texChecking module memdemo... -Found and reported 0 problems. - -3. Executing MEMORY pass. - -3.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. -3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -)Finding unused cells or wires in module \memdemo.. +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 14 unused wires. 6.2. Continuing SUBMOD pass. -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.texFinding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 5 unused wires. - - -3.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -)Creating submodule outstage (\outstage) of module \memdemo. +Creating submodule outstage (\outstage) of module \memdemo. signal \clk: input \clk signal $memory\mem$rdmux[0][0][0]$a$80: internal signal $memory\mem$rdmux[0][0][0]$b$81: internal @@ -37307,49 +34505,24 @@ cell $memory\mem$rdmux[0][1][0]$82 ($mux) cell $memory\mem$rdmux[0][0][0]$79 ($mux) cell $\mem$rdreg[0] ($dff) -Performed a total of 6 transformations. - -3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex 7. Executing SUBMOD pass (moving cells to submodules as requested). 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Mapping memory \mem in module \memdemo: - created 4 $dff cells and 0 static cells of width 4. -Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] - read interface: 1 $dff and 3 $mux cells. - write interface: 12 write mux blocks. - -4. Executing OPT pass (performing simple optimizations). - -4.1. Executing OPT_EXPR pass (perform const folding). - Analyzing memdemo.mem write port 0. - Analyzing memdemo.mem write port 1. - Analyzing memdemo.mem write port 2. - Analyzing memdemo.mem write port 3. - Analyzing memdemo.mem write port 4. - -3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). +Optimizing module memdemo. + +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 28 unused wires. + -3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Writing dot description to `submod_01.dot'. -Dumping module scramble to page 1. +4.8. Executing OPT_EXPR pass (perform const folding). -10. Generating Graphviz representation of design. -Writing dot description to `submod_02.dot'. -Dumping module outstage to page 1. +4.2. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. 7.2. Continuing SUBMOD pass. - -11. Generating Graphviz representation of design. -Optimizing module memdemo. - - -4.2. Executing OPT_MERGE pass (detect identical cells). Creating submodule selstage (\selstage) of module \memdemo. signal \d: input \d signal \s1: input \s1 @@ -37361,14 +34534,8 @@ cell $xor$memdemo.v:14$31 ($xor) cell $ternary$memdemo.v:14$33 ($mux) cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) -Writing dot description to `submod_03.dot'. -Dumping module selstage to page 1. 8. Generating Graphviz representation of design. - -End of script. Logfile hash: fe7ae7f9e5, CPU: user 0.08s system 0.01s, MEM: 13.26 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 25% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... Finding identical cells in module `\memdemo'. Removed a total of 0 cells. @@ -37381,74 +34548,48 @@ 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty Optimizing cells in module \memdemo. + Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.01 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif +Optimizing module memdemo. -16.1.2. Re-integrating ABC results. +4.9. Rerunning OPT passes. (Maybe there is more to do..) + +4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. + +4.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). -ABC RESULTS: NAND cells: 4 -ABC RESULTS: NOR cells: 4 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Removed 0 unused cells and 10 unused wires. +4.13. Executing OPT_DFF pass (perform DFF optimizations). -17. Generating Graphviz representation of design. +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -17.1. Executing Verilog-2005 frontend: mycells.v +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 28 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \memdemo.. -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.styParsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. - -17.2. Continuing show pass. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. -)Optimizing module memdemo. +4.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. 4.9. Rerunning OPT passes. (Maybe there is more to do..) @@ -37465,110 +34606,38 @@ Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). +Optimizing module memdemo. + +4.16. Finished OPT passes. (There is nothing left to do.) Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). -Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. - Write port 0: non-transparent. - Write port 1: non-transparent. - Write port 2: non-transparent. - Write port 3: non-transparent. - Write port 4: non-transparent. -Checking read port `\mem'[1] in module `\memdemo': no output FF found. -Checking read port `\mem'[2] in module `\memdemo': no output FF found. -Checking read port `\mem'[3] in module `\memdemo': no output FF found. -Checking read port `\mem'[4] in module `\memdemo': no output FF found. -Checking read port address `\mem'[1] in module `\memdemo': no address FF found. -Checking read port address `\mem'[2] in module `\memdemo': no address FF found. -Checking read port address `\mem'[3] in module `\memdemo': no address FF found. -Checking read port address `\mem'[4] in module `\memdemo': no address FF found. +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + -3.6. Executing OPT_CLEAN pass (remove unused cells and wires). +4. Executing ABC pass (technology mapping using ABC). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. - - -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Finding unused cells or wires in module \memdemo.. 4.15. Executing OPT_EXPR pass (perform const folding). - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.styConsolidating read ports of memory memdemo.mem by address: - Merging ports 1, 4 (address 2'00). - Merging ports 2, 3 (address 2'11). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 2 (address 2'00). -Consolidating read ports of memory memdemo.mem by address: -Consolidating write ports of memory memdemo.mem by address: - Merging ports 0, 1 (address 2'00). - Merging ports 0, 2 (address 2'00). -Consolidating write ports of memory memdemo.mem by address: - -3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Writing dot description to `submod_00.dot'. -Dumping module memdemo to page 1. -Performed a total of 0 transformations. - -3.9. Executing OPT_CLEAN pass (remove unused cells and wires). - -9. Generating Graphviz representation of design. Optimizing module memdemo. 4.16. Finished OPT passes. (There is nothing left to do.) -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 5 unused wires. - - -3.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -Mapping memory \mem in module \memdemo: - created 4 $dff cells and 0 static cells of width 4. -Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] - read interface: 1 $dff and 3 $mux cells. - write interface: 12 write mux blocks. - -4. Executing OPT pass (performing simple optimizations). - -4.1. Executing OPT_EXPR pass (perform const folding). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))Writing dot description to `submod_01.dot'. -Dumping module scramble to page 1. - -10. Generating Graphviz representation of design. -Writing dot description to `submod_02.dot'. -Dumping module outstage to page 1. - -11. Generating Graphviz representation of design. - -18. Executing Verilog backend. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -18.1. Executing BMUXMAP pass. - -18.2. Executing DEMUXMAP pass. -Writing dot description to `submod_03.dot'. -Dumping module selstage to page 1. 5. Executing SUBMOD pass (moving cells to submodules as requested). 5.1. Executing OPT_CLEAN pass (remove unused cells and wires). - -End of script. Logfile hash: fe7ae7f9e5, CPU: user 0.09s system 0.01s, MEM: 15.09 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 24% 12x opt_clean (0 sec), 20% 9x opt_expr (0 sec), ... -Dumping module `\counter'. - -End of script. Logfile hash: 3f1d384d35, CPU: user 0.11s system 0.01s, MEM: 15.88 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 52% 1x abc (0 sec), 11% 13x opt_expr (0 sec), ... Finding unused cells or wires in module \memdemo.. 5.2. Continuing SUBMOD pass. -))Creating submodule scramble (\scramble) of module \memdemo. +Creating submodule scramble (\scramble) of module \memdemo. signal \d: input \d signal \clk: input \clk signal $memory\mem$wrmux[1][2][0]$y$119: internal @@ -37618,7 +34687,9 @@ 6.2. Continuing SUBMOD pass. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +5. Executing SUBMOD pass (moving cells to submodules as requested). + +5.1. Executing OPT_CLEAN pass (remove unused cells and wires). Creating submodule outstage (\outstage) of module \memdemo. signal \clk: input \clk signal $memory\mem$rdmux[0][0][0]$a$80: internal @@ -37638,145 +34709,14 @@ 7. Executing SUBMOD pass (moving cells to submodules as requested). 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.texFinding unused cells or wires in module \memdemo.. +5.2. Continuing SUBMOD pass. +Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. 7.2. Continuing SUBMOD pass. -))Creating submodule selstage (\selstage) of module \memdemo. - signal \d: input \d - signal \s1: input \s1 - signal \s2: input \s2 - signal $0\s2[1:0]: output \n1 - signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: output \n2 - signal $reduce_bool$memdemo.v:14$32_Y: internal - signal $xor$memdemo.v:14$31_Y: internal - cell $xor$memdemo.v:14$31 ($xor) - cell $ternary$memdemo.v:14$33 ($mux) - cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) -) -8. Generating Graphviz representation of design. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)Writing dot description to `submod_00.dot'. -Dumping module memdemo to page 1. - -9. Generating Graphviz representation of design. -Optimizing module memdemo. - - -4.2. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `submod_01.dot'. -Dumping module scramble to page 1. -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -10. Generating Graphviz representation of design. -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -4.5. Executing OPT_MERGE pass (detect identical cells). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -xWriting dot description to `submod_02.dot'. -Dumping module outstage to page 1. - -11. Generating Graphviz representation of design. -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). -Writing dot description to `submod_03.dot'. -Dumping module selstage to page 1. - -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). - -End of script. Logfile hash: fe7ae7f9e5, CPU: user 0.07s system 0.00s, MEM: 13.01 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 24% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 28 unused wires. - - -4.8. Executing OPT_EXPR pass (perform const folding). -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_ast.tex --interaction=nonstopmode -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.texOptimizing module memdemo. - -4.9. Rerunning OPT passes. (Maybe there is more to do..) - -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -4.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.13. Executing OPT_DFF pass (perform DFF optimizations). -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.texFinding unused cells or wires in module \memdemo.. - -4.15. Executing OPT_EXPR pass (perform const folding). -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.texOptimizing module memdemo. - -4.16. Finished OPT passes. (There is nothing left to do.) -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex)cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_abstractions.tex --interaction=nonstopmode - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -5. Executing SUBMOD pass (moving cells to submodules as requested). - -5.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.texFinding unused cells or wires in module \memdemo.. - -5.2. Continuing SUBMOD pass. -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_parsetree.tex --interaction=nonstopmode Creating submodule scramble (\scramble) of module \memdemo. signal \d: input \d signal \clk: input \clk @@ -37821,12 +34761,25 @@ 6. Executing SUBMOD pass (moving cells to submodules as requested). 6.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Creating submodule selstage (\selstage) of module \memdemo. + signal \d: input \d + signal \s1: input \s1 + signal \s2: input \s2 + signal $0\s2[1:0]: output \n1 + signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: output \n2 + signal $reduce_bool$memdemo.v:14$32_Y: internal + signal $xor$memdemo.v:14$31_Y: internal + cell $xor$memdemo.v:14$31 ($xor) + cell $ternary$memdemo.v:14$33 ($mux) + cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) + +8. Generating Graphviz representation of design. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 14 unused wires. 6.2. Continuing SUBMOD pass. -)))Creating submodule outstage (\outstage) of module \memdemo. +Creating submodule outstage (\outstage) of module \memdemo. signal \clk: input \clk signal $memory\mem$rdmux[0][0][0]$a$80: internal signal $memory\mem$rdmux[0][0][0]$b$81: internal @@ -37847,15 +34800,10 @@ 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. -) + 7.2. Continuing SUBMOD pass. - - -12. Executing OPT pass (performing simple optimizations). - -12.1. Executing OPT_EXPR pass (perform const folding). -)Creating submodule selstage (\selstage) of module \memdemo. +Creating submodule selstage (\selstage) of module \memdemo. signal \d: input \d signal \s1: input \s1 signal \s2: input \s2 @@ -37869,311 +34817,2092 @@ 8. Generating Graphviz representation of design. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -Writing dot description to `submod_00.dot'. -Dumping module memdemo to page 1. -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - -9. Generating Graphviz representation of design. -) - -12. Executing OPT pass (performing simple optimizations). - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -12.1. Executing OPT_EXPR pass (perform const folding). -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_flow.tex --interaction=nonstopmode +4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. +Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)Writing dot description to `submod_01.dot'. -Dumping module scramble to page 1. +4.1.1. Executing ABC. +Writing dot description to `macc_simple_test_00a.dot'. +Dumping module test to page 1. +Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex -10. Generating Graphviz representation of design. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.texWriting dot description to `submod_02.dot'. -Dumping module outstage to page 1. -)) +4.1.1. Executing ABC. -11. Generating Graphviz representation of design. -)Optimizing module counter. - +4. Executing EXTRACT pass (map subcircuits to cells). +Writing dot description to `proc_01.dot'. +Dumping module test to page 1. +Writing dot description to `opt_muxtree_full.dot'. +Dumping module after to page 1. +Dumping module uut to page 2. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -12.2. Executing OPT_MERGE pass (detect identical cells). -)Writing dot description to `submod_03.dot'. -Dumping module selstage to page 1. +4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Writing dot description to `test1.dot'. +Dumping module absval to page 1. +Writing dot description to `red_or3x1.dot'. +Dumping module test to page 1. +Writing dot description to `example_first.dot'. +Dumping module example to page 1. +Writing dot description to `sym_mul.dot'. +Dumping module test to page 1. +Writing dot description to `select.dot'. +Dumping module test to page 1. +Writing dot description to `counter_00.dot'. +Dumping module counter to page 1. +Writing dot description to `proc_03.dot'. +Dumping module test to page 1. +Writing dot description to `macc_xilinx_test1a.dot'. +Dumping module test1 to page 1. -End of script. Logfile hash: fe7ae7f9e5, CPU: user 0.06s system 0.01s, MEM: 13.29 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 23% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +3. Executing PROC pass (convert processes to netlists). -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.styOptimizing module counter. - -make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' -)Finding identical cells in module `\counter'. -Removed a total of 0 cells. +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +4. Executing PROC pass (convert processes to netlists). -12.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -)Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Cleaned up 0 empty switches. -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Writing dot description to `scrambler_p01.dot'. +Dumping module scrambler to page 1. +Removed a total of 0 dead cases. -12.5. Executing OPT_MERGE pass (detect identical cells). - (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. +Removed a total of 0 dead cases. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.styFinding identical cells in module `\counter'. -Removed a total of 0 cells. +4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -12.6. Executing OPT_DFF pass (perform DFF optimizations). -)Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +6. Generating Graphviz representation of design. +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +3.4. Executing PROC_INIT pass (extract init attributes). -12.5. Executing OPT_MERGE pass (detect identical cells). -)Finding identical cells in module `\counter'. -Removed a total of 0 cells. +3.5. Executing PROC_ARST pass (detect async resets in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -12.6. Executing OPT_DFF pass (perform DFF optimizations). +4.4. Executing PROC_INIT pass (extract init attributes). -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). +4.5. Executing PROC_ARST pass (detect async resets in processes). -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). +4.6. Executing PROC_ROM pass (convert switches to ROMs). -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty)Finding unused cells or wires in module \counter.. -Removed 1 unused cells and 32 unused wires. +3.6. Executing PROC_ROM pass (convert switches to ROMs). -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.styFinding unused cells or wires in module \counter.. -Removed 1 unused cells and 32 unused wires. +6. Generating Graphviz representation of design. +Converted 0 switches. -12.8. Executing OPT_EXPR pass (perform const folding). -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) - -12.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Converted 0 switches. + -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)Optimizing module counter. +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -12.9. Rerunning OPT passes. (Maybe there is more to do..) +End of script. Logfile hash: b6e098eb19, CPU: user 0.00s system 0.00s, MEM: 10.14 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 47% 1x clean (0 sec), 31% 1x show (0 sec), ... +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +4.2. Executing PROC pass (convert processes to netlists). -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -12.9. Rerunning OPT passes. (Maybe there is more to do..) - Optimizing cells in module \counter. -Performed a total of 0 changes. +4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Creating decoders for process `\example.$proc$example.v:3$1'. + 1/1: $0\y[1:0] -12.12. Executing OPT_MERGE pass (detect identical cells). +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Writing dot description to `proc_02.dot'. +Dumping module test to page 1. +Removed a total of 0 dead cases. -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -)Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +4.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Creating decoders for process `\counter.$proc$counter.v:6$1'. + 1/1: $0\count[1:0] -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +4.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -12.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +4.2.4. Executing PROC_INIT pass (extract init attributes). -12.13. Executing OPT_DFF pass (perform DFF optimizations). +End of script. Logfile hash: 4fe5064e83, CPU: user 0.01s system 0.00s, MEM: 11.58 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 26% 1x show (0 sec), 24% 1x opt_expr (0 sec), ... -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.texFinding identical cells in module `\counter'. -Removed a total of 0 cells. +4.2.5. Executing PROC_ARST pass (detect async resets in processes). -12.13. Executing OPT_DFF pass (perform DFF optimizations). -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +4.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +4.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -Finding unused cells or wires in module \counter.. - (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.styFinding unused cells or wires in module \counter.. -) -12.15. Executing OPT_EXPR pass (perform const folding). +End of script. Logfile hash: d6e7304939, CPU: user 0.00s system 0.01s, MEM: 11.98 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 37% 1x techmap (0 sec), 25% 4x read_verilog (0 sec), ... -12.15. Executing OPT_EXPR pass (perform const folding). +4.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Writing dot description to `mymul.dot'. +Dumping module test_mapped to page 1. -Package pgfplots: loading complementary utilities for your pgf version... +End of script. Logfile hash: 2e5f50e91f, CPU: user 0.00s system 0.01s, MEM: 8.58 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 93% 1x show (0 sec), 6% 1x test1 (0 sec) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))Optimizing module counter. +End of script. Logfile hash: 5a538b5f7f, CPU: user 0.01s system 0.00s, MEM: 12.01 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 25% 1x opt_expr (0 sec), 24% 1x clean (0 sec), ... -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)Optimizing module counter. +End of script. Logfile hash: f11d6793ac, CPU: user 0.03s system 0.00s, MEM: 12.63 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 29% 6x opt_expr (0 sec), 25% 5x opt_clean (0 sec), ... -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) -12.16. Finished OPT passes. (There is nothing left to do.) +End of script. Logfile hash: 28982f840f, CPU: user 0.01s system 0.00s, MEM: 11.61 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 30% 1x clean (0 sec), 26% 4x read_verilog (0 sec), ... +Writing dot description to `counter_00.dot'. +Dumping module counter to page 1. +Writing dot description to `memdemo_00.dot'. +Dumping module memdemo to page 1. +Writing dot description to `memory_01.dot'. +Dumping module test to page 1. -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex -12.16. Finished OPT passes. (There is nothing left to do.) +Warnings: 1 unique messages, 1 total +End of script. Logfile hash: c4b4f83334, CPU: user 0.00s system 0.01s, MEM: 11.68 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 31% 1x opt_expr (0 sec), 23% 1x clean (0 sec), ... -13. Executing SPLITNETS pass (splitting up multi-bit signals). -) -13. Executing SPLITNETS pass (splitting up multi-bit signals). +3.9. Executing PROC_DFF pass (convert process syncs to FFs). -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.texRemoved 0 unused cells and 2 unused wires. +4.9. Executing PROC_DFF pass (convert process syncs to FFs). -14. Generating Graphviz representation of design. -Removed 0 unused cells and 2 unused wires. +4. Executing PROC pass (convert processes to netlists). -14. Generating Graphviz representation of design. +4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.texWriting dot description to `counter_02.dot'. -Dumping module counter to page 1. +4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. +Removed a total of 0 dead cases. -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). - cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. - final dff cell mappings: - unmapped dff cell: $_DFF_N_ - \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); - unmapped dff cell: $_DFF_NN0_ - unmapped dff cell: $_DFF_NN1_ - unmapped dff cell: $_DFF_NP0_ - unmapped dff cell: $_DFF_NP1_ - unmapped dff cell: $_DFF_PN0_ - unmapped dff cell: $_DFF_PN1_ - unmapped dff cell: $_DFF_PP0_ - unmapped dff cell: $_DFF_PP1_ - unmapped dff cell: $_DFFE_NN_ - unmapped dff cell: $_DFFE_NP_ - unmapped dff cell: $_DFFE_PN_ - unmapped dff cell: $_DFFE_PP_ - unmapped dff cell: $_DFFSR_NNN_ - unmapped dff cell: $_DFFSR_NNP_ - unmapped dff cell: $_DFFSR_NPN_ - unmapped dff cell: $_DFFSR_NPP_ - unmapped dff cell: $_DFFSR_PNN_ - unmapped dff cell: $_DFFSR_PNP_ - unmapped dff cell: $_DFFSR_PPN_ - unmapped dff cell: $_DFFSR_PPP_ +4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). -Writing dot description to `counter_02.dot'. -Dumping module counter to page 1. +4.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). -Mapping DFF cells in module `\counter': - mapped 2 $_DFF_P_ cells to \DFF cells. - cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. - final dff cell mappings: - unmapped dff cell: $_DFF_N_ - \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); - unmapped dff cell: $_DFF_NN0_ - unmapped dff cell: $_DFF_NN1_ - unmapped dff cell: $_DFF_NP0_ - unmapped dff cell: $_DFF_NP1_ - unmapped dff cell: $_DFF_PN0_ - unmapped dff cell: $_DFF_PN1_ - unmapped dff cell: $_DFF_PP0_ - unmapped dff cell: $_DFF_PP1_ - unmapped dff cell: $_DFFE_NN_ - unmapped dff cell: $_DFFE_NP_ - unmapped dff cell: $_DFFE_PN_ - unmapped dff cell: $_DFFE_PP_ - unmapped dff cell: $_DFFSR_NNN_ - unmapped dff cell: $_DFFSR_NNP_ - unmapped dff cell: $_DFFSR_NPN_ - unmapped dff cell: $_DFFSR_NPP_ - unmapped dff cell: $_DFFSR_PNN_ - unmapped dff cell: $_DFFSR_PNP_ - unmapped dff cell: $_DFFSR_PPN_ - unmapped dff cell: $_DFFSR_PPP_ +4.4. Executing PROC_INIT pass (extract init attributes). -15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). +4.5. Executing PROC_ARST pass (detect async resets in processes). -16. Executing ABC pass (technology mapping using ABC). -Mapping DFF cells in module `\counter': - mapped 2 $_DFF_P_ cells to \DFF cells. -)) -16. Executing ABC pass (technology mapping using ABC). -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. +4.6. Executing PROC_ROM pass (convert switches to ROMs). -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. -)Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. +6. Generating Graphviz representation of design. -16.1.1. Executing ABC. +End of script. Logfile hash: 449524bce2, CPU: user 0.01s system 0.01s, MEM: 13.48 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 24% 2x clean (0 sec), 16% 1x techmap (0 sec), ... +Converted 0 switches. + -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -xExtracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. +4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. + created $dff cell `$procdff$6' with positive edge clock. + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. + created $dff cell `$procdff$8' with positive edge clock. + +4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +Creating decoders for process `\counter.$proc$counter.v:6$1'. + 1/1: $0\count[1:0] + +4.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Writing dot description to `submod_00.dot'. +Dumping module memdemo to page 1. + +4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Writing dot description to `macc_xilinx_test2a.dot'. +Dumping module test2 to page 1. +Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. +Removing empty process `counter.$proc$counter.v:6$1'. +Cleaned up 2 empty switches. + +4.12. Executing OPT_EXPR pass (perform const folding). +Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. +Removing empty process `example.$proc$example.v:3$1'. +Cleaned up 1 empty switch. + +3.12. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `counter_00.dot'. +Dumping module counter to page 1. +Writing dot description to `opt_share_full.dot'. +Dumping module after to page 1. +Dumping module uut to page 2. +Writing dot description to `submod_00.dot'. +Dumping module memdemo to page 1. + +4.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +Writing dot description to `scrambler_p02.dot'. +Dumping module xorshift32 to page 1. + +4.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +4.2.12. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `submod_00.dot'. +Dumping module memdemo to page 1. + +4. Executing PROC pass (convert processes to netlists). + +9. Generating Graphviz representation of design. + +4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). + +7. Executing TECHMAP pass (map to technology primitives). +Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. +Removed a total of 0 dead cases. + +4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Writing dot description to `opt_expr_full.dot'. +Dumping module after to page 1. +Dumping module uut to page 2. + +4.9. Executing PROC_DFF pass (convert process syncs to FFs). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +4.4. Executing PROC_INIT pass (extract init attributes). + +4.5. Executing PROC_ARST pass (detect async resets in processes). + +9. Generating Graphviz representation of design. + +4.6. Executing PROC_ROM pass (convert switches to ROMs). +Writing dot description to `opt_merge_full.dot'. +Dumping module after to page 1. +Dumping module uut to page 2. +Converted 0 switches. + + +4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). + +9. Generating Graphviz representation of design. +echo on + +yosys> cd xorshift32 + +yosys [xorshift32]> rename n2 in +Renaming wire n2 to in in module xorshift32. + +yosys [xorshift32]> rename n1 out +Renaming wire n1 to out in module xorshift32. + +yosys [xorshift32]> eval -set in 1 -show out + +7. Executing EVAL pass (evaluate the circuit given an input). + +End of script. Logfile hash: 3f34ade637, CPU: user 0.01s system 0.01s, MEM: 12.79 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 30% 5x opt_expr (0 sec), 17% 5x opt_clean (0 sec), ... + +End of script. Logfile hash: 7082042be4, CPU: user 0.01s system 0.00s, MEM: 10.21 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 41% 1x clean (0 sec), 38% 1x show (0 sec), ... +Creating decoders for process `\counter.$proc$counter.v:6$1'. + 1/1: $0\count[1:0] + +4.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +End of script. Logfile hash: 1d1df32b64, CPU: user 0.01s system 0.00s, MEM: 10.70 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 49% 1x opt_expr (0 sec), 22% 1x clean (0 sec), ... +Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. + created $dff cell `$procdff$8' with positive edge clock. + +4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. +Removing empty process `counter.$proc$counter.v:6$1'. +Cleaned up 2 empty switches. + +4.12. Executing OPT_EXPR pass (perform const folding). + +End of script. Logfile hash: dedbdef5c2, CPU: user 0.01s system 0.00s, MEM: 10.55 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 39% 1x clean (0 sec), 26% 1x show (0 sec), ... +Failed to evaluate signal \out: Missing value for \out. + +yosys [xorshift32]> eval -set in 270369 -show out + +8. Executing EVAL pass (evaluate the circuit given an input). + +4.9. Executing PROC_DFF pass (convert process syncs to FFs). + +7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v +Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. + created $dff cell `$procdff$8' with positive edge clock. + +4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Failed to evaluate signal \out: Missing value for \out. + +yosys [xorshift32]> sat -set out 632435482 + +9. Executing SAT pass (solving SAT problems in the circuit). +Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. +Removing empty process `counter.$proc$counter.v:6$1'. +Cleaned up 2 empty switches. + +4.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `submod_01.dot'. +Dumping module scramble to page 1. +Optimizing module example. +Writing dot description to `submod_01.dot'. +Dumping module scramble to page 1. +Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. +Generating RTLIL representation for module `\mul_swap_ports'. +Successfully finished Verilog frontend. + +7.2. Continuing TECHMAP pass. +Optimizing module macc_16_16_32. + +4. Generating Graphviz representation of design. +make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' + +4.3. Executing OPT_CLEAN pass (remove unused cells and wires). +cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_flow.tex --interaction=nonstopmode + +10. Generating Graphviz representation of design. + +10. Generating Graphviz representation of design. +gvpack -u -o opt_muxtree.dot opt_muxtree_full.dot +../../../../yosys mulshift_test.ys +Optimizing module counter. +../../../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format dot' + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `memdemo_01.dot'. +Dumping selected parts of module memdemo to page 1. + +7. Generating Graphviz representation of design. +Writing dot description to `example_second.dot'. +Dumping module example to page 1. +Finding unused cells or wires in module \macc_16_16_32.. +Removed 0 unused cells and 1 unused wires. + + +4.4. Creating graphs for SubCircuit library. +cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_rtlil.tex --interaction=nonstopmode + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `submod_02.dot'. +Dumping module outstage to page 1. +Optimizing module counter. +Using template $paramod$cb31b7e2c27e209b1e5fc8ca3c6ec22d65eb4c07\mul_swap_ports for cells of type $mul. + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `splice.dot'. +Dumping module splice_demo to page 1. + +11. Generating Graphviz representation of design. +Optimizing module counter. +../../../../yosys addshift_test.ys + +End of script. Logfile hash: 2eeb6955f1, CPU: user 0.02s system 0.00s, MEM: 12.29 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 34% 5x opt_expr (0 sec), 24% 4x opt_clean (0 sec), ... +../../../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' + +5.2. Executing OPT_MERGE pass (detect identical cells). +cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex approach_flow.tex --interaction=nonstopmode +Writing dot description to `memdemo_02.dot'. +Dumping selected parts of module memdemo to page 1. + +8. Generating Graphviz representation of design. +Creating needle graph needle_macc_16_16_32. +Creating haystack graph haystack_test. + +4.5. Running solver from SubCircuit library. +Optimizing module counter. + +5.2. Executing OPT_MERGE pass (detect identical cells). + +Setting up SAT problem: +Import set-constraint: \out = 632435482 +Final constraint equation: \out = 632435482 +Imported 3 cells to SAT database. + +Solving problem with 665 variables and 1735 clauses.. +Solving for needle_macc_16_16_32 in haystack_test. +Found 1 matches. + +4.6. Substitute SubCircuits with cells. +Writing dot description to `submod_03.dot'. +Dumping module selstage to page 1. + +Match #0: (needle_macc_16_16_32 in haystack_test) + $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y + $const$0 -> $const$0 \Y:\Y + $const$1 -> $const$1 \Y:\Y + $const$x -> $const$x \Y:\Y + $const$z -> $const$z \Y:\Y + $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y + new cell: $extract$\macc_16_16_32$8 +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). + +End of script. Logfile hash: fe7ae7f9e5, CPU: user 0.07s system 0.01s, MEM: 13.57 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 25% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... +No more expansions possible. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Optimizing module example. +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + +5.2. Executing OPT_MERGE pass (detect identical cells). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `memdemo_03.dot'. +Dumping selected parts of module memdemo to page 1. +Optimizing module counter. + +5.2. Executing OPT_MERGE pass (detect identical cells). + +9. Generating Graphviz representation of design. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.6. Executing OPT_DFF pass (perform DFF optimizations). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.6. Executing OPT_DFF pass (perform DFF optimizations). +gvpack -u -o opt_share.dot opt_share_full.dot +Finding identical cells in module `\example'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. + dead port 2/2 on $mux $ternary$example.v:5$3. +Removed 1 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Removed 0 unused cells and 1 unused wires. + +5. Generating Graphviz representation of design. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). + Optimizing cells in module \example. +Performed a total of 0 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). + +5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). +gvpack -u -o opt_merge.dot opt_merge_full.dot +Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). +Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Writing dot description to `memdemo_04.dot'. +Dumping selected parts of module memdemo to page 1. + +10. Generating Graphviz representation of design. +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. + +5.2. Continuing show pass. +Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). +Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +Finding identical cells in module `\example'. +Removed a total of 0 cells. + +5.6. Executing OPT_DFF pass (perform DFF optimizations). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.6. Executing OPT_DFF pass (perform DFF optimizations). +SAT solving finished - model found: + + Signal Name Dec Hex Bin + --------------- ----------- --------- ----------------------------------- + \out 632435482 25b2331a 00100101101100100011001100011010 + +End of script. Logfile hash: c8ba6caa2d, CPU: user 0.02s system 0.01s, MEM: 14.92 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 23% 1x sat (0 sec), 21% 1x submod (0 sec), ... +Writing dot description to `macc_simple_test_00b.dot'. +Dumping module test to page 1. +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +gvpack -u -o opt_expr.dot opt_expr_full.dot +Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. +Removed 2 unused cells and 5 unused wires. + + +5.8. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \counter.. +Removed 2 unused cells and 5 unused wires. +Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). +Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). + + +5.8. Executing OPT_EXPR pass (perform const folding). + +6. Executing Verilog-2005 frontend: macc_simple_test_01.v +Writing dot description to `memdemo_05.dot'. +Dumping selected parts of module memdemo to page 1. +Parsing Verilog input from `macc_simple_test_01.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +7. Executing HIERARCHY pass (managing design hierarchy). + +7.1. Analyzing design hierarchy.. +Top module: \test + +7.2. Analyzing design hierarchy.. + +Removed 0 unused cells and 8 unused wires. + +8. Generating Graphviz representation of design. +Top module: \test +Removed 0 unused modules. + +End of script. Logfile hash: ade9f67caf, CPU: user 0.04s system 0.01s, MEM: 13.03 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 20% 6x show (0 sec), 20% 9x opt_expr (0 sec), ... +Finding unused cells or wires in module \example.. +Removed 1 unused cells and 4 unused wires. + + +5.8. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \counter.. +Removed 2 unused cells and 5 unused wires. +Writing dot description to `macc_xilinx_test1b.dot'. +Dumping module test1 to page 1. + + +5.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +9. Generating Graphviz representation of design. + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). +Removed 0 unused cells and 1 unused wires. + +8. Generating Graphviz representation of design. +Writing dot description to `macc_xilinx_test2b.dot'. +Dumping module test2 to page 1. + +8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. + +8.2. Continuing show pass. + +10. Executing TECHMAP pass (map to technology primitives). + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) + +-- Executing script file `mulshift_test.ys' -- + +1. Executing Verilog-2005 frontend: mulshift_test.v +Optimizing module counter. + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) + +-- Executing script file `addshift_test.ys' -- + +1. Executing Verilog-2005 frontend: addshift_test.v + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). + +10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v +Writing dot description to `macc_simple_test_01a.dot'. +Dumping module test to page 1. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) + +-- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format dot' -- + +-- Executing script file `memory_02.ys' -- + +1. Executing Verilog-2005 frontend: memory_02.v + +9. Executing EXTRACT pass (map subcircuits to cells). + +9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v + +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module example. + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. + +9.2. Executing PROC pass (convert processes to netlists). + +9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. + +9.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). + Optimizing cells in module \example. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +9.2.4. Executing PROC_INIT pass (extract init attributes). + +9.2.5. Executing PROC_ARST pass (detect async resets in processes). + +9.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + +9.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). + +9.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Parsing Verilog input from `mulshift_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). +Optimizing module counter. +Parsing Verilog input from `addshift_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. + +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. +Top module: \test +Removed 0 unused modules. + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + +3. Executing TECHMAP pass (map to technology primitives). + +9.2.9. Executing PROC_DFF pass (convert process syncs to FFs). + +3. Executing TECHMAP pass (map to technology primitives). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). + +3.1. Executing Verilog-2005 frontend: sym_mul_map.v +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). + +9.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +9.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +9.2.12. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \counter.. +Finding identical cells in module `\example'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). + +5.15. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). + +3.1. Executing Verilog-2005 frontend: addshift_map.v + +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `sym_mul_map.v' to AST representation. +Generating RTLIL representation for module `\$mul'. +Successfully finished Verilog frontend. + +3.2. Executing Verilog-2005 frontend: mulshift_map.v +Optimizing module macc_16_16_32. + +9.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. +Generating RTLIL representation for module `\mul_wrap'. +Generating RTLIL representation for module `\add_wrap'. +Successfully finished Verilog frontend. + +10.2. Continuing TECHMAP pass. + +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `addshift_map.v' to AST representation. +Generating RTLIL representation for module `\$add'. +Successfully finished Verilog frontend. + +3.2. Continuing TECHMAP pass. + +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `memory_02.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) + +-- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' -- + +-- Executing script file `techmap_01.ys' -- + +1. Executing Verilog-2005 frontend: techmap_01.v +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. + +3. Executing PROC pass (convert processes to netlists). + +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$memory_02.v:17$10 in module test. +Marked 1 switch rules as full_case in process $proc$memory_02.v:13$3 in module test. +Removed a total of 0 dead cases. + +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Finding unused cells or wires in module \macc_16_16_32.. +Removed 0 unused cells and 1 unused wires. + + +9.4. Creating graphs for SubCircuit library. +Removed 0 redundant assignments. +Promoted 8 assignments to connections. + +3.4. Executing PROC_INIT pass (extract init attributes). + +3.5. Executing PROC_ARST pass (detect async resets in processes). + +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Finding unused cells or wires in module \counter.. +Converted 0 switches. + + +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). + +5.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. +Parsing Verilog input from `techmap_01.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. + +5.16. Finished OPT passes. (There is nothing left to do.) +Parsing Verilog input from `mulshift_map.v' to AST representation. +Generating RTLIL representation for module `\MYMUL'. +Successfully finished Verilog frontend. + +3.3. Continuing TECHMAP pass. +Top module: \test + +2.2. Analyzing design hierarchy.. + +6. Executing MEMORY pass. +Top module: \test +Removed 0 unused modules. + +6.1. Executing OPT_MEM pass (optimize memories). + +3. Executing TECHMAP pass (map to technology primitives). +Performed a total of 0 transformations. + +6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Creating decoders for process `\test.$proc$memory_02.v:24$19'. +Creating decoders for process `\test.$proc$memory_02.v:21$17'. +Creating decoders for process `\test.$proc$memory_02.v:17$10'. + 1/3: $1$memwr$\memory$memory_02.v:19$2_EN[7:0]$16 + 2/3: $1$memwr$\memory$memory_02.v:19$2_DATA[7:0]$15 + 3/3: $1$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$14 +Creating decoders for process `\test.$proc$memory_02.v:13$3'. + 1/3: $1$memwr$\memory$memory_02.v:15$1_EN[7:0]$9 + 2/3: $1$memwr$\memory$memory_02.v:15$1_DATA[7:0]$8 + 3/3: $1$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$7 + +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Finding unused cells or wires in module \example.. + +5.15. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \counter.. + +3.1. Executing Verilog-2005 frontend: techmap_01_map.v + +5.15. Executing OPT_EXPR pass (perform const folding). +Creating needle graph needle_macc_16_16_32. +Creating haystack graph haystack_test. + +9.5. Running solver from SubCircuit library. + +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Performed a total of 0 transformations. + +6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). +Using template $paramod$ba28896eb640c0d0dd7116971c6c5dc347170a6c\$add for cells of type $add. +No more expansions possible. +Solving for needle_macc_16_16_32 in haystack_test. +Found 1 matches. + +9.6. Substitute SubCircuits with cells. + +6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). + +Match #0: (needle_macc_16_16_32 in haystack_test) + $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y + $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y + new cell: $extract$\macc_16_16_32$19 +Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. +Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$19'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$17'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$10'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$10'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$10'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. + created $dff cell `$procdff$46' with positive edge clock. + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Parsing Verilog input from `techmap_01_map.v' to AST representation. +Generating RTLIL representation for module `\$add'. +Successfully finished Verilog frontend. + +3.2. Continuing TECHMAP pass. +Removing empty process `test.$proc$memory_02.v:24$19'. +Removing empty process `test.$proc$memory_02.v:21$17'. +Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$10'. +Removing empty process `test.$proc$memory_02.v:17$10'. +Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. +Removing empty process `test.$proc$memory_02.v:13$3'. +Cleaned up 2 empty switches. + +3.12. Executing OPT_EXPR pass (perform const folding). + +6.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module counter. + +5.16. Finished OPT passes. (There is nothing left to do.) + +6. Executing MEMORY pass. + +6.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). + +Removed 0 unused cells and 7 unused wires. + +4. Generating Graphviz representation of design. +Optimizing module example. +Removed 0 unused cells and 1 unused wires. + +10. Generating Graphviz representation of design. + +5.16. Finished OPT passes. (There is nothing left to do.) + +10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v + +6. Generating Graphviz representation of design. +Optimizing module counter. +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. + +10.2. Continuing show pass. + +5.16. Finished OPT passes. (There is nothing left to do.) +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + +6. Executing MEMORY pass. + +6.1. Executing OPT_MEM pass (optimize memories). +Finding unused cells or wires in module \counter.. +Performed a total of 0 transformations. + +6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. + +6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +Performed a total of 0 transformations. + +6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + +6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +Writing dot description to `addshift.dot'. +Dumping module test to page 1. +Writing dot description to `macc_simple_test_01b.dot'. +Dumping module test to page 1. + +End of script. Logfile hash: 99575363c1, CPU: user 0.00s system 0.01s, MEM: 11.29 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 29% 1x techmap (0 sec), 29% 3x read_verilog (0 sec), ... +Optimizing module test. +Writing dot description to `example_third.dot'. +Dumping module example to page 1. + +6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. + +6.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Performed a total of 0 transformations. + +6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + +6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). + +6.6. Executing OPT_CLEAN pass (remove unused cells and wires). + +End of script. Logfile hash: 1968fcbfd1, CPU: user 0.02s system 0.01s, MEM: 12.11 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 37% 4x opt_expr (0 sec), 16% 3x show (0 sec), ... + +11. Executing Verilog-2005 frontend: macc_simple_test_02.v +Parsing Verilog input from `macc_simple_test_02.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +12. Executing HIERARCHY pass (managing design hierarchy). + +12.1. Analyzing design hierarchy.. +Top module: \test + +12.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. +No more expansions possible. + +6.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +6.10. Executing MEMORY_COLLECT pass (generating $mem cells). +Finding unused cells or wires in module \counter.. + +6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). + +6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). + +7. Executing OPT pass (performing simple optimizations). + +7.1. Executing OPT_EXPR pass (perform const folding). +Removed 0 unused cells and 1 unused wires. + +13. Generating Graphviz representation of design. + +13.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Finding unused cells or wires in module \counter.. + +6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). + +6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. + +6.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. + +13.2. Continuing show pass. + +6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. + +6.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Removed 6 unused cells and 26 unused wires. + +4. Executing MEMORY pass. +Writing dot description to `macc_simple_test_02a.dot'. +Dumping module test to page 1. + +4.1. Executing OPT_MEM pass (optimize memories). + +14. Executing EXTRACT pass (map subcircuits to cells). + +Removed 0 unused cells and 7 unused wires. + +4. Generating Graphviz representation of design. +Performed a total of 0 transformations. + +4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). + +14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Optimizing module counter. +Finding unused cells or wires in module \counter.. +Finding unused cells or wires in module \counter.. + +6.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +6.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). + +6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). + +7.2. Executing OPT_MERGE pass (detect identical cells). + +7. Executing OPT pass (performing simple optimizations). + +7. Executing OPT pass (performing simple optimizations). + +7.1. Executing OPT_EXPR pass (perform const folding). + +7.1. Executing OPT_EXPR pass (perform const folding). +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. + +14.2. Executing PROC pass (convert processes to netlists). + +14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. + +14.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +14.2.4. Executing PROC_INIT pass (extract init attributes). + +14.2.5. Executing PROC_ARST pass (detect async resets in processes). + +14.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + +14.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). + +14.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +14.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Writing dot description to `techmap_01.dot'. +Dumping module test to page 1. +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +7.5. Executing OPT_MERGE pass (detect identical cells). +Optimizing module counter. +Performed a total of 0 transformations. + +4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + +14.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +14.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). + +7.2. Executing OPT_MERGE pass (detect identical cells). +Cleaned up 0 empty switches. + +14.2.12. Executing OPT_EXPR pass (perform const folding). + Analyzing test.memory write port 0. + Analyzing test.memory write port 1. + +4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). + +End of script. Logfile hash: 49c16386d9, CPU: user 0.01s system 0.00s, MEM: 11.56 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 35% 1x techmap (0 sec), 29% 1x clean (0 sec), ... +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +7.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.6. Executing OPT_DFF pass (perform DFF optimizations). +Optimizing module counter. + +7.2. Executing OPT_MERGE pass (detect identical cells). +Optimizing module macc_16_16_32. + +14.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.6. Executing OPT_DFF pass (perform DFF optimizations). + +7.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Checking read port `\memory'[0] in module `\test': merging output FF to cell. +Checking read port `\memory'[1] in module `\test': merging output FF to cell. + +4.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + +7.7. Executing OPT_CLEAN pass (remove unused cells and wires). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +7.5. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \macc_16_16_32.. +Removed 0 unused cells and 1 unused wires. + + +14.4. Creating graphs for SubCircuit library. +Finding unused cells or wires in module \counter.. + +7.8. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.6. Executing OPT_DFF pass (perform DFF optimizations). +Finding unused cells or wires in module \counter.. + +7.8. Executing OPT_EXPR pass (perform const folding). +Creating needle graph needle_macc_16_16_32. +Creating haystack graph haystack_test. + +14.5. Running solver from SubCircuit library. +Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\mul_wrap for cells of type $mul. + +7.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module counter. + +7.9. Finished OPT passes. (There is nothing left to do.) + +8. Executing FSM pass (extract and optimize FSM). + +8.1. Executing FSM_DETECT pass (finding FSMs in design). +Solving for needle_macc_16_16_32 in haystack_test. +Found 2 matches. + +14.6. Substitute SubCircuits with cells. +Finding unused cells or wires in module \test.. +Removed 2 unused cells and 18 unused wires. + + +4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). + +8.2. Executing FSM_EXTRACT pass (extracting FSM from design). + +Match #0: (needle_macc_16_16_32 in haystack_test) + $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y + $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y + new cell: $extract$\macc_16_16_32$30 + +Match #1: (needle_macc_16_16_32 in haystack_test) + $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y + $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y + new cell: $extract$\macc_16_16_32$31 + +8.3. Executing FSM_OPT pass (simple optimizations of FSMs). +Finding unused cells or wires in module \counter.. + +8.4. Executing OPT_CLEAN pass (remove unused cells and wires). + +7.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +7.9. Finished OPT passes. (There is nothing left to do.) + +8. Executing FSM pass (extract and optimize FSM). + +8.1. Executing FSM_DETECT pass (finding FSMs in design). +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +Consolidating read ports of memory test.memory by address: +Consolidating write ports of memory test.memory by address: +Consolidating write ports of memory test.memory using sat-based resource sharing: + +4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Finding unused cells or wires in module \counter.. +Performed a total of 0 transformations. + +4.9. Executing OPT_CLEAN pass (remove unused cells and wires). + +8.5. Executing FSM_OPT pass (simple optimizations of FSMs). + +8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). + +8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). + +8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). +Removed 0 unused cells and 2 unused wires. + +15. Generating Graphviz representation of design. + +9. Executing OPT pass (performing simple optimizations). + +9.1. Executing OPT_EXPR pass (perform const folding). + +15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. + +15.2. Continuing show pass. + +8.2. Executing FSM_EXTRACT pass (extracting FSM from design). +Writing dot description to `macc_simple_test_02b.dot'. +Dumping module test to page 1. +Optimizing module counter. +Optimizing module counter. + +16. Executing Verilog-2005 frontend: macc_simple_xmap.v + +9.2. Executing OPT_MERGE pass (detect identical cells). + +7.9. Finished OPT passes. (There is nothing left to do.) + +8. Executing FSM pass (extract and optimize FSM). + +8.1. Executing FSM_DETECT pass (finding FSMs in design). + +8.3. Executing FSM_OPT pass (simple optimizations of FSMs). + +8.4. Executing OPT_CLEAN pass (remove unused cells and wires). +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. + +17. Executing HIERARCHY pass (managing design hierarchy). + +17.1. Analyzing design hierarchy.. +Top module: \macc_16_16_32 + +17.2. Analyzing design hierarchy.. +Top module: \macc_16_16_32 +Removed 0 unused modules. +Finding unused cells or wires in module \test.. +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + +4.10. Executing MEMORY_COLLECT pass (generating $mem cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +9.5. Executing OPT_MERGE pass (detect identical cells). + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). + +8.2. Executing FSM_EXTRACT pass (extracting FSM from design). +Finding unused cells or wires in module \counter.. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.6. Executing OPT_DFF pass (perform DFF optimizations). +Using template $paramod$48197a291a9e3825142389e9d2e41385cae2467c\mul_wrap for cells of type $mul. + +8.5. Executing FSM_OPT pass (simple optimizations of FSMs). + +8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). + +8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). + +8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). + +9. Executing OPT pass (performing simple optimizations). + +9.1. Executing OPT_EXPR pass (perform const folding). +Removed 0 unused cells and 1 unused wires. + +18. Generating Graphviz representation of design. + +8.3. Executing FSM_OPT pass (simple optimizations of FSMs). + +8.4. Executing OPT_CLEAN pass (remove unused cells and wires). + +9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Writing dot description to `macc_simple_xmap.dot'. +Dumping module macc_16_16_32 to page 1. + +End of script. Logfile hash: a39cb7f441, CPU: user 0.03s system 0.00s, MEM: 12.03 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 30% 7x clean (0 sec), 16% 7x show (0 sec), ... +Finding unused cells or wires in module \counter.. + +8.5. Executing FSM_OPT pass (simple optimizations of FSMs). + +8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). + +8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). + +8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). + +9. Executing OPT pass (performing simple optimizations). + +9.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +9.2. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \counter.. + +9.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. + + +5.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +9.5. Executing OPT_MERGE pass (detect identical cells). +Optimizing module counter. + +9.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\test'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Optimizing module counter. +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + +9.9. Finished OPT passes. (There is nothing left to do.) + +10. Generating Graphviz representation of design. + Optimizing cells in module \test. + Consolidated identical input bits for $mux cell $procmux$31: + Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 + New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] + New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } + Consolidated identical input bits for $mux cell $procmux$22: + Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 + New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] + New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] } + Optimizing cells in module \test. +Performed a total of 2 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.6. Executing OPT_DFF pass (perform DFF optimizations). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +9.5. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `counter_01.dot'. +Dumping module counter to page 1. + +11. Executing TECHMAP pass (map to technology primitives). + +11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v + +9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.6. Executing OPT_DFF pass (perform DFF optimizations). +Finding identical cells in module `\test'. +Removed a total of 0 cells. + +5.6. Executing OPT_DFF pass (perform DFF optimizations). + +9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +9.8. Executing OPT_EXPR pass (perform const folding). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +9.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +9.9. Finished OPT passes. (There is nothing left to do.) + +10. Generating Graphviz representation of design. +Finding unused cells or wires in module \test.. +Removed 0 unused cells and 4 unused wires. + + +5.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +9.9. Finished OPT passes. (There is nothing left to do.) + +10. Generating Graphviz representation of design. +Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\add_wrap for cells of type $add. +No more expansions possible. + + +11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). +Writing dot description to `counter_01.dot'. +Dumping module counter to page 1. + +11. Executing TECHMAP pass (map to technology primitives). + +11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v +Using template $paramod$fb3c811cfd9dc2fc74fe40190dfcd365f04584f7\MYMUL for cells of type MYMUL. +No more expansions possible. +Optimizing module test. + + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). + +Removed 0 unused cells and 16 unused wires. + +4. Generating Graphviz representation of design. + +4.1. Executing Verilog-2005 frontend: sym_mul_cells.v +Finding identical cells in module `\test'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). +Parsing Verilog input from `sym_mul_cells.v' to AST representation. +Generating RTLIL representation for module `\MYMUL'. +Successfully finished Verilog frontend. + +4.2. Continuing show pass. + +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Writing dot description to `mulshift.dot'. +Dumping module test to page 1. + +End of script. Logfile hash: e40f1e9ccc, CPU: user 0.02s system 0.01s, MEM: 12.64 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 29% 3x clean (0 sec), 24% 1x techmap (0 sec), ... +Finding unused cells or wires in module \test.. +Removed 0 unused cells and 2 unused wires. + + +5.15. Executing OPT_EXPR pass (perform const folding). +Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } +Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } +Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } +Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } +Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap19$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } +Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } +Removed 0 unused cells and 56 unused wires. + +12. Generating Graphviz representation of design. +Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +11.2. Continuing TECHMAP pass. +Writing dot description to `macc_xilinx_test1c.dot'. +Dumping module test1 to page 1. + +13. Generating Graphviz representation of design. +Optimizing module test. +Warning: node n1 in graph[1] uut already defined +Some nodes will be renamed. +Warning: node n1 in graph[1] uut already defined +make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' +Some nodes will be renamed. + +5.16. Rerunning OPT passes. (Maybe there is more to do..) +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. + +5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. +Performed a total of 0 changes. + +5.19. Executing OPT_MERGE pass (detect identical cells). +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using extmapper simplemap for cells of type $sdffe. +Warning: node n2 in graph[1] uut already defined +Some nodes will be renamed. +Writing dot description to `macc_xilinx_test2c.dot'. +Dumping module test2 to page 1. + +14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v +Finding identical cells in module `\test'. +Removed a total of 0 cells. + +5.20. Executing OPT_DFF pass (perform DFF optimizations). +Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. +Generating RTLIL representation for module `\DSP48_MACC'. +Successfully finished Verilog frontend. + +15. Executing TECHMAP pass (map to technology primitives). + +15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v +Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. +Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. +Generating RTLIL representation for module `\mul_swap_ports'. +Successfully finished Verilog frontend. + +15.2. Continuing TECHMAP pass. +Warning: node n4 in graph[1] uut already defined + +5.21. Executing OPT_CLEAN pass (remove unused cells and wires). +No more expansions possible. + + +16. Executing TECHMAP pass (map to technology primitives). +Some nodes will be renamed. + +16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v +Finding unused cells or wires in module \test.. + +5.22. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. +Generating RTLIL representation for module `\mul_wrap'. +Generating RTLIL representation for module `\add_wrap'. +Successfully finished Verilog frontend. + +16.2. Continuing TECHMAP pass. +make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' +Optimizing module test. + +5.23. Finished OPT passes. (There is nothing left to do.) + +6. Generating Graphviz representation of design. +Writing dot description to `memory_02.dot'. +Dumping module test to page 1. + +End of script. Logfile hash: da34c3e279, CPU: user 0.04s system 0.00s, MEM: 12.20 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 27% 5x opt_expr (0 sec), 20% 5x opt_clean (0 sec), ... +Using template $paramod$81421da67e3cf07e7ac8b39f28efc75ee750e82a\mul_wrap for cells of type $mul. +make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' +Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +11.2. Continuing TECHMAP pass. +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using extmapper simplemap for cells of type $sdffe. +Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. +Using template $paramod$88aad6f8473fb7e4e5fbfb8335ddebad03429eaa\add_wrap for cells of type $add. +No more expansions possible. +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + + +12. Executing OPT pass (performing simple optimizations). + +12.1. Executing OPT_EXPR pass (perform const folding). + +Removed 0 unused cells and 17 unused wires. + +17. Executing EXTRACT pass (map subcircuits to cells). + +17.1. Creating graphs for SubCircuit library. +Optimizing module counter. + + +12.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.5. Executing OPT_MERGE pass (detect identical cells). +entering extended mode +(./basics_ast.texentering extended mode +(./levels_of_abstraction.texentering extended mode +(./basics_abstractions.texFinding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.6. Executing OPT_DFF pass (perform DFF optimizations). + +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Creating needle graph needle_DSP48_MACC. +Creating haystack graph haystack_$__add_wrapper. +Creating haystack graph haystack_$__mul_wrapper. +Creating haystack graph haystack_DSP48_MACC. +Creating haystack graph haystack_test1. +Creating haystack graph haystack_test2. + +17.2. Running solver from SubCircuit library. +Finding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + + +12.8. Executing OPT_EXPR pass (perform const folding). +Solving for needle_DSP48_MACC in haystack_$__add_wrapper. +Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. +Solving for needle_DSP48_MACC in haystack_DSP48_MACC. +Solving for needle_DSP48_MACC in haystack_test1. +Solving for needle_DSP48_MACC in haystack_test2. +Found 3 matches. + +17.3. Substitute SubCircuits with cells. + +Match #0: (needle_DSP48_MACC in haystack_test1) + $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y + $const$0 -> $const$0 \Y:\Y + $const$1 -> $const$1 \Y:\Y + $const$x -> $const$x \Y:\Y + $const$z -> $const$z \Y:\Y + $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y + new cell: $extract$\DSP48_MACC$35 + +Match #1: (needle_DSP48_MACC in haystack_test1) + $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y + $const$0 -> $const$0 \Y:\Y + $const$1 -> $const$1 \Y:\Y + $const$x -> $const$x \Y:\Y + $const$z -> $const$z \Y:\Y + $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y + new cell: $extract$\DSP48_MACC$36 + +Match #2: (needle_DSP48_MACC in haystack_test2) + $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y + $const$0 -> $const$0 \Y:\Y + $const$1 -> $const$1 \Y:\Y + $const$x -> $const$x \Y:\Y + $const$z -> $const$z \Y:\Y + $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y + new cell: $extract$\DSP48_MACC$37 +entering extended mode +(./simplified_rtlil.texOptimizing module counter. + +12.9. Rerunning OPT passes. (Maybe there is more to do..) + +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.12. Executing OPT_MERGE pass (detect identical cells). + +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsFinding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.13. Executing OPT_DFF pass (perform DFF optimizations). + +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsentering extended mode +(./basics_flow.tex +12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +12.15. Executing OPT_EXPR pass (perform const folding). +Removed 0 unused cells and 6 unused wires. + +18. Generating Graphviz representation of design. + +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsOptimizing module counter. + +12.16. Finished OPT passes. (There is nothing left to do.) + +13. Executing SPLITNETS pass (splitting up multi-bit signals). +Writing dot description to `macc_xilinx_test1d.dot'. +Dumping module test1 to page 1. + +19. Generating Graphviz representation of design. +Removed 0 unused cells and 2 unused wires. + +14. Generating Graphviz representation of design. + +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsWriting dot description to `macc_xilinx_test2d.dot'. +Dumping module test2 to page 1. +Writing dot description to `counter_02.dot'. +Dumping module counter to page 1. + +20. Executing TECHMAP pass (map to technology primitives). + +15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). + +20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v + cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. + final dff cell mappings: + unmapped dff cell: $_DFF_N_ + \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); + unmapped dff cell: $_DFF_NN0_ + unmapped dff cell: $_DFF_NN1_ + unmapped dff cell: $_DFF_NP0_ + unmapped dff cell: $_DFF_NP1_ + unmapped dff cell: $_DFF_PN0_ + unmapped dff cell: $_DFF_PN1_ + unmapped dff cell: $_DFF_PP0_ + unmapped dff cell: $_DFF_PP1_ + unmapped dff cell: $_DFFE_NN_ + unmapped dff cell: $_DFFE_NP_ + unmapped dff cell: $_DFFE_PN_ + unmapped dff cell: $_DFFE_PP_ + unmapped dff cell: $_DFFSR_NNN_ + unmapped dff cell: $_DFFSR_NNP_ + unmapped dff cell: $_DFFSR_NPN_ + unmapped dff cell: $_DFFSR_NPP_ + unmapped dff cell: $_DFFSR_PNN_ + unmapped dff cell: $_DFFSR_PNP_ + unmapped dff cell: $_DFFSR_PPN_ + unmapped dff cell: $_DFFSR_PPP_ + +15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). +Mapping DFF cells in module `\counter': + mapped 2 $_DFF_P_ cells to \DFF cells. + +16. Executing ABC pass (technology mapping using ABC). + +16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. +Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. +Generating RTLIL representation for module `\$__mul_wrapper'. +Generating RTLIL representation for module `\$__add_wrapper'. +Successfully finished Verilog frontend. + +20.2. Continuing TECHMAP pass. +Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. 16.1.1. Executing ABC. +Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\$__mul_wrapper for cells of type $__mul_wrapper. +Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\$__add_wrapper for cells of type $__add_wrapper. +No more expansions possible. +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.texRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +12. Executing OPT pass (performing simple optimizations). + +12.1. Executing OPT_EXPR pass (perform const folding). +Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif @@ -38201,24 +36930,40 @@ ABC: + write_blif /output.blif 4.1.2. Re-integrating ABC results. -)ABC RESULTS: NOR cells: 3 + +Removed 0 unused cells and 14 unused wires. + +21. Generating Graphviz representation of design. +ABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 2 ABC RESULTS: internal signals: 14 ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. +Writing dot description to `macc_xilinx_test1e.dot'. +Dumping module test1 to page 1. -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +22. Generating Graphviz representation of design. Removed 0 unused cells and 36 unused wires. 5. Generating Graphviz representation of design. -)Writing dot description to `cmos_00.dot'. +Writing dot description to `macc_xilinx_test2e.dot'. +Dumping module test2 to page 1. + +23. Generating Graphviz representation of design. + +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.styOptimizing module counter. + +Writing dot description to `cmos_00.dot'. Dumping module cmos_demo to page 1. +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +12.2. Executing OPT_MERGE pass (detect identical cells). + 6. Executing Verilog-2005 frontend: cmos.v Parsing Verilog input from `cmos.v' to AST representation. Generating RTLIL representation for module `\cmos_demo'. @@ -38229,6 +36974,8 @@ 7.1. Executing HIERARCHY pass (managing design hierarchy). 7.1.1. Analyzing design hierarchy.. +Writing dot description to `macc_xilinx_xmap.dot'. +Dumping module DSP48_MACC to page 1. Top module: \cmos_demo 7.1.2. Analyzing design hierarchy.. @@ -38258,6 +37005,13 @@ 7.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +End of script. Logfile hash: 750c951a95, CPU: user 0.08s system 0.00s, MEM: 14.38 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 39% 12x clean (0 sec), 18% 5x techmap (0 sec), ... + 7.2.9. Executing PROC_DFF pass (convert process syncs to FFs). 7.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). @@ -38266,14 +37020,35 @@ Cleaned up 0 empty switches. 7.2.12. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.5. Executing OPT_MERGE pass (detect identical cells). Optimizing module cmos_demo. 7.3. Executing FUTURE pass. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.6. Executing OPT_DFF pass (perform DFF optimizations). +make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' 7.4. Executing OPT_EXPR pass (perform const folding). Optimizing module cmos_demo. 7.5. Executing OPT_CLEAN pass (remove unused cells and wires). + +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \cmos_demo.. Removed 0 unused cells and 1 unused wires. @@ -38285,12 +37060,15 @@ 7.7. Executing OPT pass (performing simple optimizations). 7.7.1. Executing OPT_EXPR pass (perform const folding). -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -exOptimizing module cmos_demo. +Optimizing module cmos_demo. 7.7.2. Executing OPT_MERGE pass (detect identical cells). -)Finding identical cells in module `\cmos_demo'. +Finding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + + +12.8. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). @@ -38304,34 +37082,626 @@ Performed a total of 0 changes. 7.7.5. Executing OPT_MERGE pass (detect identical cells). - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -exFinding identical cells in module `\cmos_demo'. +Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \cmos_demo.. 7.7.7. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +12.9. Rerunning OPT passes. (Maybe there is more to do..) + +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.12. Executing OPT_MERGE pass (detect identical cells). Optimizing module cmos_demo. 7.7.8. Finished OPT passes. (There is nothing left to do.) 7.8. Executing WREDUCE pass (reducing word size of cells). +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty 7.9. Executing OPT_CLEAN pass (remove unused cells and wires). -)Finding unused cells or wires in module \cmos_demo.. +)))Writing dot description to `memdemo_00.dot'. +Dumping module memdemo to page 1. +Writing dot description to `counter_01.dot'. +Dumping module counter to page 1. +Writing dot description to `submod_02.dot'. +Dumping module outstage to page 1. +Writing dot description to `submod_00.dot'. +Dumping module memdemo to page 1. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.13. Executing OPT_DFF pass (perform DFF optimizations). +Writing dot description to `submod_01.dot'. +Dumping module scramble to page 1. +) +11. Executing TECHMAP pass (map to technology primitives). +Finding unused cells or wires in module \cmos_demo.. +Writing dot description to `example_first.dot'. +Dumping module example to page 1. + +6. Generating Graphviz representation of design. + +11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v +Writing dot description to `scrambler_p01.dot'. +Dumping module scrambler to page 1. +Writing dot description to `counter_00.dot'. +Dumping module counter to page 1. + +9. Generating Graphviz representation of design. +) +11. Generating Graphviz representation of design. + +10. Generating Graphviz representation of design. + +3. Executing PROC pass (convert processes to netlists). + +4. Executing PROC pass (convert processes to netlists). + +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). + +4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Cleaned up 0 empty switches. + +4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). + +6. Generating Graphviz representation of design. +Removed a total of 0 dead cases. + +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. +Removed a total of 0 dead cases. + +4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +3.4. Executing PROC_INIT pass (extract init attributes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +4.4. Executing PROC_INIT pass (extract init attributes). + +3.5. Executing PROC_ARST pass (detect async resets in processes). + +4.5. Executing PROC_ARST pass (detect async resets in processes). + +4.6. Executing PROC_ROM pass (convert switches to ROMs). + +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + + +4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Converted 0 switches. + + +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\example.$proc$example.v:3$1'. + 1/1: $0\y[1:0] + +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Creating decoders for process `\counter.$proc$counter.v:6$1'. + 1/1: $0\count[1:0] + +4.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +3.9. Executing PROC_DFF pass (convert process syncs to FFs). + +4.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. + created $dff cell `$procdff$6' with positive edge clock. + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. + created $dff cell `$procdff$8' with positive edge clock. + +4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. +Removing empty process `example.$proc$example.v:3$1'. +Cleaned up 1 empty switch. + +3.12. Executing OPT_EXPR pass (perform const folding). +Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. +Removing empty process `counter.$proc$counter.v:6$1'. +Cleaned up 2 empty switches. + +4.12. Executing OPT_EXPR pass (perform const folding). 7.10. Executing MEMORY_COLLECT pass (generating $mem cells). 7.11. Executing OPT pass (performing simple optimizations). +12.14. Executing OPT_CLEAN pass (remove unused cells and wires). + 7.11.1. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \counter.. + +12.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module cmos_demo. + +7.11.2. Executing OPT_MERGE pass (detect identical cells). +Optimizing module example. +Optimizing module counter. + +4. Generating Graphviz representation of design. + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +7.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \cmos_demo.. + +7.11.4. Finished fast OPT passes. + +7.12. Printing statistics. + +=== cmos_demo === + + Number of wires: 3 + Number of wire bits: 4 + Number of public wires: 3 + Number of public wire bits: 4 + Number of ports: 3 + Number of port bits: 4 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 1 + $add 1 + +7.13. Executing CHECK pass (checking for obvious problems). +Optimizing module counter. +Checking module cmos_demo... +Found and reported 0 problems. + +8. Executing TECHMAP pass (map to technology primitives). + +12.16. Finished OPT passes. (There is nothing left to do.) + +13. Executing SPLITNETS pass (splitting up multi-bit signals). + +8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styRemoved 0 unused cells and 2 unused wires. + +14. Generating Graphviz representation of design. +Optimizing module counter. + +5.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styFinding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.6. Executing OPT_DFF pass (perform DFF optimizations). +Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). +Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. +Removed 2 unused cells and 5 unused wires. + + +5.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). + +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +5.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +5.16. Finished OPT passes. (There is nothing left to do.) + +6. Executing MEMORY pass. + +6.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Performed a total of 0 transformations. + +6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + +6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). + +6.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). + +6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +8.2. Continuing TECHMAP pass. +Performed a total of 0 transformations. + +6.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Finding unused cells or wires in module \counter.. + +6.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). + +7. Executing OPT pass (performing simple optimizations). + +7.1. Executing OPT_EXPR pass (perform const folding). +Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $mux. +Optimizing module counter. + +7.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +7.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.6. Executing OPT_DFF pass (perform DFF optimizations). +Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +11.2. Continuing TECHMAP pass. +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. + +7.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using extmapper simplemap for cells of type $sdffe. +Finding unused cells or wires in module \counter.. + +7.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +7.9. Finished OPT passes. (There is nothing left to do.) + +8. Executing FSM pass (extract and optimize FSM). + +8.1. Executing FSM_DETECT pass (finding FSMs in design). +Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. + +8.2. Executing FSM_EXTRACT pass (extracting FSM from design). + +8.3. Executing FSM_OPT pass (simple optimizations of FSMs). + +8.4. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +8.5. Executing FSM_OPT pass (simple optimizations of FSMs). + +8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). + +8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). + +8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). + +9. Executing OPT pass (performing simple optimizations). + +9.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +9.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +9.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +9.6. Executing OPT_DFF pass (perform DFF optimizations). + +9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +9.8. Executing OPT_EXPR pass (perform const folding). +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $and. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + + +9. Executing SPLITNETS pass (splitting up multi-bit signals). +Optimizing module counter. + +9.9. Finished OPT passes. (There is nothing left to do.) + +10. Generating Graphviz representation of design. + +10. Executing ABC pass (technology mapping using ABC). +Writing dot description to `submod_03.dot'. +Dumping module selstage to page 1. +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + + +12. Executing OPT pass (performing simple optimizations). + +12.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + + +12.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.6. Executing OPT_DFF pass (perform DFF optimizations). + +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + + +12.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +12.9. Rerunning OPT passes. (Maybe there is more to do..) + +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.13. Executing OPT_DFF pass (perform DFF optimizations). + +12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +12.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +12.16. Finished OPT passes. (There is nothing left to do.) + +13. Executing SPLITNETS pass (splitting up multi-bit signals). +Removed 0 unused cells and 2 unused wires. + +14. Generating Graphviz representation of design. +Writing dot description to `scrambler_p02.dot'. +Dumping module xorshift32 to page 1. +entering extended mode +(./basics_parsetree.texentering extended mode +(./verilog_flow.tex +End of script. Logfile hash: fe7ae7f9e5, CPU: user 0.07s system 0.01s, MEM: 13.48 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 26% 12x opt_clean (0 sec), 20% 9x opt_expr (0 sec), ... + +10.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +echo on + +yosys> cd xorshift32 + +yosys [xorshift32]> rename n2 in +Renaming wire n2 to in in module xorshift32. + +yosys [xorshift32]> rename n1 out +Renaming wire n1 to out in module xorshift32. + +yosys [xorshift32]> eval -set in 1 -show out + +7. Executing EVAL pass (evaluate the circuit given an input). +Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. + +10.1.1. Executing ABC. +Writing dot description to `counter_02.dot'. +Dumping module counter to page 1. + +15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). +Failed to evaluate signal \out: Missing value for \out. + +yosys [xorshift32]> eval -set in 270369 -show out + +8. Executing EVAL pass (evaluate the circuit given an input). + cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. + final dff cell mappings: + unmapped dff cell: $_DFF_N_ + \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); + unmapped dff cell: $_DFF_NN0_ + unmapped dff cell: $_DFF_NN1_ + unmapped dff cell: $_DFF_NP0_ + unmapped dff cell: $_DFF_NP1_ + unmapped dff cell: $_DFF_PN0_ + unmapped dff cell: $_DFF_PN1_ + unmapped dff cell: $_DFF_PP0_ + unmapped dff cell: $_DFF_PP1_ + unmapped dff cell: $_DFFE_NN_ + unmapped dff cell: $_DFFE_NP_ + unmapped dff cell: $_DFFE_PN_ + unmapped dff cell: $_DFFE_PP_ + unmapped dff cell: $_DFFSR_NNN_ + unmapped dff cell: $_DFFSR_NNP_ + unmapped dff cell: $_DFFSR_NPN_ + unmapped dff cell: $_DFFSR_NPP_ + unmapped dff cell: $_DFFSR_PNN_ + unmapped dff cell: $_DFFSR_PNP_ + unmapped dff cell: $_DFFSR_PPN_ + unmapped dff cell: $_DFFSR_PPP_ + +15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). +Failed to evaluate signal \out: Missing value for \out. + +yosys [xorshift32]> sat -set out 632435482 + +9. Executing SAT pass (solving SAT problems in the circuit). +Mapping DFF cells in module `\counter': + mapped 2 $_DFF_P_ cells to \DFF cells. + +16. Executing ABC pass (technology mapping using ABC). +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.styRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif @@ -38359,57 +37729,631 @@ ABC: + write_blif /output.blif 4.1.2. Re-integrating ABC results. + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty +Setting up SAT problem: +Import set-constraint: \out = 632435482 +Final constraint equation: \out = 632435482 +Imported 3 cells to SAT database. + +Solving problem with 665 variables and 1735 clauses.. + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)))) +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)SAT solving finished - model found: + + Signal Name Dec Hex Bin + --------------- ----------- --------- ----------------------------------- + \out 632435482 25b2331a 00100101101100100011001100011010 + +End of script. Logfile hash: c8ba6caa2d, CPU: user 0.02s system 0.00s, MEM: 13.09 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 22% 1x submod (0 sec), 14% 2x show (0 sec), ... + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' + +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))))) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty))))))) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)))) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))))))) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.styentering extended mode +(./approach_flow.texentering extended mode +(./overview_flow.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.defentering extended mode +(./overview_rtlil.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texWriting dot description to `memdemo_01.dot'. +Dumping selected parts of module memdemo to page 1. +Writing dot description to `counter_01.dot'. +Dumping module counter to page 1. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.texWriting dot description to `submod_02.dot'. +Dumping module outstage to page 1. +Writing dot description to `example_first.dot'. +Dumping module example to page 1. + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defWriting dot description to `counter_02.dot'. +Dumping module counter to page 1. + +3. Executing PROC pass (convert processes to netlists). + +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). + +11. Executing TECHMAP pass (map to technology primitives). + +7. Generating Graphviz representation of design. + +11. Generating Graphviz representation of design. +Removed a total of 0 dead cases. + +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +3.4. Executing PROC_INIT pass (extract init attributes). + +3.5. Executing PROC_ARST pass (detect async resets in processes). + +15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +3.6. Executing PROC_ROM pass (convert switches to ROMs). + +11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v +Converted 0 switches. + + +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\example.$proc$example.v:3$1'. + 1/1: $0\y[1:0] + +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). + cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. + final dff cell mappings: + unmapped dff cell: $_DFF_N_ + \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); + unmapped dff cell: $_DFF_NN0_ + unmapped dff cell: $_DFF_NN1_ + unmapped dff cell: $_DFF_NP0_ + unmapped dff cell: $_DFF_NP1_ + unmapped dff cell: $_DFF_PN0_ + unmapped dff cell: $_DFF_PN1_ + unmapped dff cell: $_DFF_PP0_ + unmapped dff cell: $_DFF_PP1_ + unmapped dff cell: $_DFFE_NN_ + unmapped dff cell: $_DFFE_NP_ + unmapped dff cell: $_DFFE_PN_ + unmapped dff cell: $_DFFE_PP_ + unmapped dff cell: $_DFFSR_NNN_ + unmapped dff cell: $_DFFSR_NNP_ + unmapped dff cell: $_DFFSR_NPN_ + unmapped dff cell: $_DFFSR_NPP_ + unmapped dff cell: $_DFFSR_PNN_ + unmapped dff cell: $_DFFSR_PNP_ + unmapped dff cell: $_DFFSR_PPN_ + unmapped dff cell: $_DFFSR_PPP_ + +15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defMapping DFF cells in module `\counter': + mapped 2 $_DFF_P_ cells to \DFF cells. + +16. Executing ABC pass (technology mapping using ABC). + +3.9. Executing PROC_DFF pass (convert process syncs to FFs). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.styCreating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. + created $dff cell `$procdff$6' with positive edge clock. + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. +Removing empty process `example.$proc$example.v:3$1'. +Cleaned up 1 empty switch. + +3.12. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texWriting dot description to `memdemo_02.dot'. +Dumping selected parts of module memdemo to page 1. + +8. Generating Graphviz representation of design. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texOptimizing module example. + +4. Generating Graphviz representation of design. +Writing dot description to `memdemo_03.dot'. +Dumping selected parts of module memdemo to page 1. + +9. Generating Graphviz representation of design. + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.styWriting dot description to `memdemo_04.dot'. +Dumping selected parts of module memdemo to page 1. + +10. Generating Graphviz representation of design. +Writing dot description to `example_second.dot'. +Dumping module example to page 1. +Writing dot description to `submod_01.dot'. +Dumping module scramble to page 1. + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). + +16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. +)Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. + +16.1.1. Executing ABC. + +10. Generating Graphviz representation of design. ABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 2 ABC RESULTS: internal signals: 14 ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. -Removed 0 unused cells and 36 unused wires. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex)Optimizing module example. + +5.2. Executing OPT_MERGE pass (detect identical cells). + (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg +16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.texRemoved 0 unused cells and 36 unused wires. 5. Generating Graphviz representation of design. -Writing dot description to `cmos_00.dot'. -Dumping module cmos_demo to page 1. -Optimizing module cmos_demo. +Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. -7.11.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\cmos_demo'. +16.1.1. Executing ABC. +Finding identical cells in module `\example'. Removed a total of 0 cells. -7.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +)Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. + dead port 2/2 on $mux $ternary$example.v:5$3. +Removed 1 multiplexer ports. + -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -texFinding unused cells or wires in module \cmos_demo.. +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \example. +Performed a total of 0 changes. -7.11.4. Finished fast OPT passes. +5.5. Executing OPT_MERGE pass (detect identical cells). +) -7.12. Printing statistics. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def)Finding identical cells in module `\example'. +Removed a total of 0 cells. + +5.6. Executing OPT_DFF pass (perform DFF optimizations). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +)Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). ) -=== cmos_demo === +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty))Finding unused cells or wires in module \example.. +Removed 1 unused cells and 4 unused wires. + - Number of wires: 3 - Number of wire bits: 4 - Number of public wires: 3 - Number of public wire bits: 4 - Number of ports: 3 - Number of port bits: 4 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 1 - $add 1 +5.8. Executing OPT_EXPR pass (perform const folding). -7.13. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -8. Executing TECHMAP pass (map to technology primitives). +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f) -8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +fOptimizing module example. +) +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfgRunning muxtree optimizer on module \example.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +) Optimizing cells in module \example. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). +) +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) + +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +fFinding identical cells in module `\example'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex))Finding unused cells or wires in module \example.. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) +5.15. Executing OPT_EXPR pass (perform const folding). +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +texOptimizing module example. +)))) +5.16. Finished OPT passes. (There is nothing left to do.) +) +6. Generating Graphviz representation of design. +))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)) +)))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +11.2. Continuing TECHMAP pass. + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +texRunning "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +)Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using extmapper simplemap for cells of type $sdffe. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.styUsing template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.styWriting dot description to `submod_03.dot'. +Dumping module selstage to page 1. +Writing dot description to `memdemo_05.dot'. +Dumping selected parts of module memdemo to page 1. +Writing dot description to `example_second.dot'. +Dumping module example to page 1. +Writing dot description to `example_third.dot'. +Dumping module example to page 1. + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `cmos_00.dot'. +Dumping module cmos_demo to page 1. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +texWriting dot description to `submod_02.dot'. +Dumping module outstage to page 1. 6. Executing Verilog-2005 frontend: cmos.v +)Optimizing module example. + +5.2. Executing OPT_MERGE pass (detect identical cells). Parsing Verilog input from `cmos.v' to AST representation. Generating RTLIL representation for module `\cmos_demo'. Successfully finished Verilog frontend. @@ -38442,13 +38386,37 @@ 7.2.5. Executing PROC_ARST pass (detect async resets in processes). 7.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Finding identical cells in module `\example'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Converted 0 switches. 7.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 7.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. + dead port 2/2 on $mux $ternary$example.v:5$3. +Removed 1 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \example. +Performed a total of 0 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +11. Generating Graphviz representation of design. 7.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Finding identical cells in module `\example'. +Removed a total of 0 cells. + +5.6. Executing OPT_DFF pass (perform DFF optimizations). 7.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). @@ -38456,57 +38424,54 @@ Cleaned up 0 empty switches. 7.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. +Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)Optimizing module cmos_demo. 7.3. Executing FUTURE pass. +Finding unused cells or wires in module \example.. +Removed 1 unused cells and 4 unused wires. + + +5.8. Executing OPT_EXPR pass (perform const folding). 7.4. Executing OPT_EXPR pass (perform const folding). -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex)Optimizing module cmos_demo. -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.01 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif +Optimizing module example. -16.1.2. Re-integrating ABC results. +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \example. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)Finding identical cells in module `\example'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). +Optimizing module cmos_demo. + +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). 7.5. Executing OPT_CLEAN pass (remove unused cells and wires). -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.texABC RESULTS: NAND cells: 4 -ABC RESULTS: NOR cells: 4 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. -)Finding unused cells or wires in module \cmos_demo.. +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defFinding unused cells or wires in module \example.. + +5.15. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \cmos_demo.. Removed 0 unused cells and 1 unused wires. -) + 7.6. Executing CHECK pass (checking for obvious problems). Checking module cmos_demo... @@ -38515,23 +38480,28 @@ 7.7. Executing OPT pass (performing simple optimizations). 7.7.1. Executing OPT_EXPR pass (perform const folding). -)Removed 0 unused cells and 10 unused wires. +Optimizing module example. -17. Generating Graphviz representation of design. +5.16. Finished OPT passes. (There is nothing left to do.) -17.1. Executing Verilog-2005 frontend: mycells.v +6. Generating Graphviz representation of design. + (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.styUsing template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + + +12. Executing OPT pass (performing simple optimizations). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +12.1. Executing OPT_EXPR pass (perform const folding). Optimizing module cmos_demo. 7.7.2. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. -17.2. Continuing show pass. -)Finding identical cells in module `\cmos_demo'. +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). @@ -38545,37 +38515,43 @@ Performed a total of 0 changes. 7.7.5. Executing OPT_MERGE pass (detect identical cells). - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex)Finding identical cells in module `\cmos_demo'. +Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. - -18. Executing Verilog backend. - -18.1. Executing BMUXMAP pass. +))Optimizing module counter. + Finding unused cells or wires in module \cmos_demo.. -18.2. Executing DEMUXMAP pass. +12.2. Executing OPT_MERGE pass (detect identical cells). 7.7.7. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. -7.7.8. Finished OPT passes. (There is nothing left to do.) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltxFinding identical cells in module `\counter'. +Removed a total of 0 cells. -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)Dumping module `\counter'. +12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -End of script. Logfile hash: 3f1d384d35, CPU: user 0.10s system 0.02s, MEM: 16.24 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 53% 1x abc (0 sec), 10% 4x read_verilog (0 sec), ... +12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Optimizing module cmos_demo. +) +7.7.8. Finished OPT passes. (There is nothing left to do.) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty 7.8. Executing WREDUCE pass (reducing word size of cells). 7.9. Executing OPT_CLEAN pass (remove unused cells and wires). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.6. Executing OPT_DFF pass (perform DFF optimizations). Finding unused cells or wires in module \cmos_demo.. 7.10. Executing MEMORY_COLLECT pass (generating $mem cells). @@ -38583,22 +38559,33 @@ 7.11. Executing OPT pass (performing simple optimizations). 7.11.1. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module cmos_demo. 7.11.2. Executing OPT_MERGE pass (detect identical cells). -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))Finding identical cells in module `\cmos_demo'. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.texFinding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \cmos_demo.. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texFinding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + + +12.8. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))Finding unused cells or wires in module \cmos_demo.. 7.11.4. Finished fast OPT passes. 7.12. Printing statistics. +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg === cmos_demo === Number of wires: 3 @@ -38618,59 +38605,66 @@ Found and reported 0 problems. 8. Executing TECHMAP pass (map to technology primitives). - +) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx 8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v +)Optimizing module counter. + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +12.9. Rerunning OPT passes. (Maybe there is more to do..) + +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.12. Executing OPT_MERGE pass (detect identical cells). ) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.texFinding identical cells in module `\counter'. +Removed a total of 0 cells. -8.2. Continuing TECHMAP pass. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +12.13. Executing OPT_DFF pass (perform DFF optimizations). -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.styUsing template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -)Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $mux. -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. +12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +)))Finding unused cells or wires in module \counter.. + +12.15. Executing OPT_EXPR pass (perform const folding). + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)Optimizing module counter. + +12.16. Finished OPT passes. (There is nothing left to do.) ) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.texParsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. +13. Executing SPLITNETS pass (splitting up multi-bit signals). +) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltxRemoved 0 unused cells and 2 unused wires. + +14. Generating Graphviz representation of design. +)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfgParsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. @@ -38698,22 +38692,27 @@ Successfully finished Verilog frontend. 8.2. Continuing TECHMAP pass. +)Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.texRunning "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -))Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.texUsing template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $mux. -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.texUsing template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $or. No more expansions possible. @@ -38721,67 +38720,46 @@ 9. Executing SPLITNETS pass (splitting up multi-bit signals). ) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex)entering extended mode -(./levels_of_abstraction.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex 10. Executing ABC pass (technology mapping using ABC). +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +End of script. Logfile hash: 1968fcbfd1, CPU: user 0.02s system 0.00s, MEM: 11.57 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 36% 4x opt_expr (0 sec), 19% 3x show (0 sec), ... -10.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. -Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. - -10.1.1. Executing ABC. - -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)entering extended mode -(./basics_abstractions.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $and. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex +End of script. Logfile hash: ade9f67caf, CPU: user 0.04s system 0.01s, MEM: 12.97 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 21% 9x opt_expr (0 sec), 19% 6x show (0 sec), ... -9. Executing SPLITNETS pass (splitting up multi-bit signals). +End of script. Logfile hash: fe7ae7f9e5, CPU: user 0.05s system 0.00s, MEM: 13.09 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 23% 12x opt_clean (0 sec), 20% 9x opt_expr (0 sec), ... ) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.texThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex))Writing dot description to `submod_03.dot'. +Dumping module selstage to page 1. -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex)entering extended mode -(./simplified_rtlil.texRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +End of script. Logfile hash: fe7ae7f9e5, CPU: user 0.05s system 0.00s, MEM: 13.49 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 22% 12x opt_clean (0 sec), 19% 9x opt_expr (0 sec), ... + (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif @@ -38809,7 +38787,301 @@ ABC: + write_blif /output.blif 16.1.2. Re-integrating ABC results. -)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +))make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex +10.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. +))ABC RESULTS: NAND cells: 4 +ABC RESULTS: NOR cells: 4 +ABC RESULTS: NOT cells: 3 +ABC RESULTS: internal signals: 5 +ABC RESULTS: input signals: 4 +ABC RESULTS: output signals: 2 +Removing temp directory. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +xExtracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. + +10.1.1. Executing ABC. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)Removed 0 unused cells and 10 unused wires. + +17. Generating Graphviz representation of design. + +17.1. Executing Verilog-2005 frontend: mycells.v +))) + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif @@ -38837,201 +39109,7 @@ ABC: + write_blif /output.blif 16.1.2. Re-integrating ABC results. - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex) -10. Executing ABC pass (technology mapping using ABC). -ABC RESULTS: NAND cells: 4 -ABC RESULTS: NOR cells: 4 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. -ABC RESULTS: NAND cells: 4 -ABC RESULTS: NOR cells: 4 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. - -10.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. -Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. - -10.1.1. Executing ABC. - -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))))Removed 0 unused cells and 10 unused wires. - -17. Generating Graphviz representation of design. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex)) -17.1. Executing Verilog-2005 frontend: mycells.v -Removed 0 unused cells and 10 unused wires. - -17. Generating Graphviz representation of design. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) -17.1. Executing Verilog-2005 frontend: mycells.v - -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))Parsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. - -17.2. Continuing show pass. -)Parsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. - -17.2. Continuing show pass. - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. - -18. Executing Verilog backend. - -18.1. Executing BMUXMAP pass. -) -18.2. Executing DEMUXMAP pass. - -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/latex/base/article.clsentering extended mode -(./overview_flow.tex -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo))) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex)This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texentering extended mode -(./verilog_flow.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)) -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) - -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -texThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -) -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif @@ -39059,181 +39137,34 @@ ABC: + write_blif /output.blif 10.1.2. Re-integrating ABC results. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.texABC RESULTS: NAND cells: 4 +ABC RESULTS: NOR cells: 4 +ABC RESULTS: NOT cells: 3 +ABC RESULTS: internal signals: 5 +ABC RESULTS: input signals: 4 +ABC RESULTS: output signals: 2 +Removing temp directory. ABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 2 ABC RESULTS: internal signals: 14 ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. - -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.styRemoved 0 unused cells and 1142 unused wires. - -11. Generating Graphviz representation of design. - -11.1. Executing Verilog-2005 frontend: ../intro/mycells.v -)Parsing Verilog input from `../intro/mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. - -11.2. Continuing show pass. -)Writing dot description to `cmos_01.dot'. -Dumping module cmos_demo to page 1. - -End of script. Logfile hash: 0f51452601, CPU: user 0.14s system 0.01s, MEM: 15.95 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 60% 2x abc (0 sec), 14% 7x read_verilog (0 sec), ... -))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty))entering extended mode -(./basics_ast.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty))Dumping module `\counter'. - -End of script. Logfile hash: 3f1d384d35, CPU: user 0.12s system 0.02s, MEM: 16.17 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 47% 1x abc (0 sec), 12% 13x opt_expr (0 sec), ... -Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. - -18. Executing Verilog backend. - -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) -18.1. Executing BMUXMAP pass. - -18.2. Executing DEMUXMAP pass. -Dumping module `\counter'. - -End of script. Logfile hash: 3f1d384d35, CPU: user 0.11s system 0.03s, MEM: 16.07 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 49% 1x abc (0 sec), 12% 13x opt_expr (0 sec), ... - (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgmake[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.texentering extended mode -(./basics_parsetree.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib +ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.01 MB. Time = 0.00 sec ABC: + strash ABC: + &get -n @@ -39250,31 +39181,67 @@ ABC: + &put ABC: + write_blif /output.blif -10.1.2. Re-integrating ABC results. -ABC RESULTS: NOR cells: 3 -ABC RESULTS: NOT cells: 2 -ABC RESULTS: internal signals: 14 -ABC RESULTS: input signals: 2 +16.1.2. Re-integrating ABC results. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +ABC RESULTS: NAND cells: 4 +ABC RESULTS: NOR cells: 4 +ABC RESULTS: NOT cells: 3 +ABC RESULTS: internal signals: 5 +ABC RESULTS: input signals: 4 ABC RESULTS: output signals: 2 Removing temp directory. -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex))Removed 0 unused cells and 1142 unused wires. +)Removed 0 unused cells and 10 unused wires. + +17. Generating Graphviz representation of design. + +17.1. Executing Verilog-2005 frontend: mycells.v + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex)Parsing Verilog input from `mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +17.2. Continuing show pass. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.texRemoved 0 unused cells and 10 unused wires. + +17. Generating Graphviz representation of design. + +17.1. Executing Verilog-2005 frontend: mycells.v +)Removed 0 unused cells and 1142 unused wires. 11. Generating Graphviz representation of design. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x 11.1. Executing Verilog-2005 frontend: ../intro/mycells.v +Parsing Verilog input from `mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)Parsing Verilog input from `../intro/mycells.v' to AST representation. +17.2. Continuing show pass. +))Parsing Verilog input from `mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +17.2. Continuing show pass. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +Parsing Verilog input from `../intro/mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. @@ -39282,1376 +39249,1498 @@ Successfully finished Verilog frontend. 11.2. Continuing show pass. +)Writing dot description to `cmos_01.dot'. +Dumping module cmos_demo to page 1. +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex +End of script. Logfile hash: 0f51452601, CPU: user 0.09s system 0.01s, MEM: 15.56 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 61% 2x abc (0 sec), 13% 7x read_verilog (0 sec), ... +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex)Writing dot description to `cmos_01.dot'. -Dumping module cmos_demo to page 1. +ex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty)) -End of script. Logfile hash: 0f51452601, CPU: user 0.14s system 0.01s, MEM: 16.04 MB peak -Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 62% 2x abc (0 sec), 12% 7x read_verilog (0 sec), ... -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex)make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' -) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty)) - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -)entering extended mode -(./basics_flow.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +ode.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))))) + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex)))) -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +)))) ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarycalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x)) +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex) +ode.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex + (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x)))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))))) + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty) -No file approach_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) -[Loading MPS to PDF converter (version 2006.09.02).] -)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +.sty)) + (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty))) +) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -Package pgfplots: loading complementary utilities for your pgf version... +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex)))))Writing dot description to `example_third.dot'. +Dumping module example to page 1. +Writing dot description to `counter_03.dot'. +Dumping module counter to page 1. +Writing dot description to `counter_02.dot'. +Dumping module counter to page 1. + +18. Executing Verilog backend. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + +18.1. Executing BMUXMAP pass. + +18.2. Executing DEMUXMAP pass. + +15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. + final dff cell mappings: + unmapped dff cell: $_DFF_N_ + \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); + unmapped dff cell: $_DFF_NN0_ + unmapped dff cell: $_DFF_NN1_ + unmapped dff cell: $_DFF_NP0_ + unmapped dff cell: $_DFF_NP1_ + unmapped dff cell: $_DFF_PN0_ + unmapped dff cell: $_DFF_PN1_ + unmapped dff cell: $_DFF_PP0_ + unmapped dff cell: $_DFF_PP1_ + unmapped dff cell: $_DFFE_NN_ + unmapped dff cell: $_DFFE_NP_ + unmapped dff cell: $_DFFE_PN_ + unmapped dff cell: $_DFFE_PP_ + unmapped dff cell: $_DFFSR_NNN_ + unmapped dff cell: $_DFFSR_NNP_ + unmapped dff cell: $_DFFSR_NPN_ + unmapped dff cell: $_DFFSR_NPP_ + unmapped dff cell: $_DFFSR_PNN_ + unmapped dff cell: $_DFFSR_PNP_ + unmapped dff cell: $_DFFSR_PPN_ + unmapped dff cell: $_DFFSR_PPP_ + +15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). +Mapping DFF cells in module `\counter': + mapped 2 $_DFF_P_ cells to \DFF cells. + +16. Executing ABC pass (technology mapping using ABC). +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)) -)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex)))))))))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +End of script. Logfile hash: 1968fcbfd1, CPU: user 0.02s system 0.00s, MEM: 11.73 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 30% 4x opt_expr (0 sec), 24% 3x show (0 sec), ... + +16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. +Writing dot description to `counter_03.dot'. +Dumping module counter to page 1. +)Dumping module `\counter'. + +End of script. Logfile hash: 3f1d384d35, CPU: user 0.09s system 0.00s, MEM: 16.15 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 43% 1x abc (0 sec), 14% 13x opt_expr (0 sec), ... +) +18. Executing Verilog backend. +Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. + +16.1.1. Executing ABC. + +18.1. Executing BMUXMAP pass. +) +18.2. Executing DEMUXMAP pass. + (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.texWriting dot description to `counter_03.dot'. +Dumping module counter to page 1. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) +18. Executing Verilog backend. + +18.1. Executing BMUXMAP pass. +) +18.2. Executing DEMUXMAP pass. +)))Dumping module `\counter'. + +End of script. Logfile hash: 3f1d384d35, CPU: user 0.08s system 0.01s, MEM: 14.87 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 51% 1x abc (0 sec), 11% 13x opt_expr (0 sec), ... +Dumping module `\counter'. + +End of script. Logfile hash: 3f1d384d35, CPU: user 0.07s system 0.01s, MEM: 16.18 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 49% 1x abc (0 sec), 12% 13x opt_expr (0 sec), ... + +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))))))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty))))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif + +10.1.2. Re-integrating ABC results. (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +ABC RESULTS: NOR cells: 3 +ABC RESULTS: NOT cells: 2 +ABC RESULTS: internal signals: 14 +ABC RESULTS: input signals: 2 +ABC RESULTS: output signals: 2 +Removing temp directory. +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)Removed 0 unused cells and 1142 unused wires. + +11. Generating Graphviz representation of design. + +11.1. Executing Verilog-2005 frontend: ../intro/mycells.v + +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.texParsing Verilog input from `../intro/mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +11.2. Continuing show pass. + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) +Package pgfplots: loading complementary utilities for your pgf version... +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +))))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)) +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))))))))) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex)) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex +x.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 12. - +d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) +Package pgfplots: loading complementary utilities for your pgf version... -[1) -Non-PDF special ignored! - papersize=398.7384pt,152.54237pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)) - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)) +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex - -No file overview_rtlil.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex -[Loading MPS to PDF converter (version 2006.09.02).] -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) +.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex +gfsupp_loader.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex))))))))))))) +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex))Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) -Package pgfplots: loading complementary utilities for your pgf version... +16.1.2. Re-integrating ABC results. -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +texWriting dot description to `cmos_01.dot'. +Dumping module cmos_demo to page 1. + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex)) +.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) +x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) +.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x) +x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +End of script. Logfile hash: 0f51452601, CPU: user 0.09s system 0.01s, MEM: 15.52 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 63% 2x abc (0 sec), 11% 7x read_verilog (0 sec), ... +ABC RESULTS: NAND cells: 4 +ABC RESULTS: NOR cells: 4 +ABC RESULTS: NOT cells: 3 +ABC RESULTS: internal signals: 5 +ABC RESULTS: input signals: 4 +ABC RESULTS: output signals: 2 +Removing temp directory. + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex)))))))Removed 0 unused cells and 10 unused wires. + +17. Generating Graphviz representation of design. + +17.1. Executing Verilog-2005 frontend: mycells.v +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex)) +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +exParsing Verilog input from `mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +17.2. Continuing show pass. +))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.texmake[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' + (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex)))) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)) +gfsupp_pgfutil-common-lists.tex +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex) +tructure.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) +x.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x +tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -Package pgfplots: loading complementary utilities for your pgf version... +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex})])) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex (./approach_flow.aux)) ) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x) +e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)))) +decorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex) -Output written on approach_flow.pdf (1 page, 15288 bytes). -Transcript written on approach_flow.log. - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -)))))) - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 11. - - -[1 -Non-PDF special ignored! - papersize=477.05351pt,211.8978pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex)pdf2svg internals/approach_flow.pdf internals/approach_flow.svg +e.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)Writing dot description to `counter_03.dot'. +Dumping module counter to page 1. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +18. Executing Verilog backend. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +18.1. Executing BMUXMAP pass. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex)))) +18.2. Executing DEMUXMAP pass. +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex)))))) -No file basics_abstractions.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex -[Loading MPS to PDF converter (version 2006.09.02).] -))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex)))))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -No file overview_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -[Loading MPS to PDF converter (version 2006.09.02).] -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +ntourlua.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -Package pgfplots: loading complementary utilities for your pgf version... +zlibrarydecorations.pathreplacing.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex))) +decorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex) +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - +decorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 12. - - -[1 -Non-PDF special ignored! - papersize=470.39511pt,294.14694pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -))))) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty}))] (./overview_rtlil.aux) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) ) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex)))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -))) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) +No file simplified_rtlil.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +[Loading MPS to PDF converter (version 2006.09.02).] +) No file levels_of_abstraction.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +[Loading MPS to PDF converter (version 2006.09.02).] +) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty) +No file overview_rtlil.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) +[Loading MPS to PDF converter (version 2006.09.02).] +)) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) +No file overview_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -Output written on overview_rtlil.pdf (1 page, 17629 bytes). -Transcript written on overview_rtlil.log. +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))Dumping module `\counter'. + +End of script. Logfile hash: 3f1d384d35, CPU: user 0.09s system 0.00s, MEM: 15.62 MB peak +Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) +Time spent: 44% 1x abc (0 sec), 13% 13x opt_expr (0 sec), ... +make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.texpdf2svg internals/overview_rtlil.pdf internals/overview_rtlil.svg -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -40661,115 +40750,19 @@ [1 Non-PDF special ignored! - papersize=384.5717pt,194.61621pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex -Package pgfplots: loading complementary utilities for your pgf version... + papersize=332.32571pt,94.39902pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex})])) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (./overview_flow.aux) ) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -Output written on overview_flow.pdf (1 page, 15179 bytes). -Transcript written on overview_flow.log. -) -No file basics_ast.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex -[Loading MPS to PDF converter (version 2006.09.02).] -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty)pdf2svg internals/overview_flow.pdf internals/overview_flow.svg +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 11. -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex) +[1))))))} +Non-PDF special ignored! + papersize=477.05351pt,211.8978pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -40778,14 +40771,6 @@ [1 -Non-PDF special ignored! - papersize=427.40239pt,233.55751pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -40794,50 +40779,86 @@ [1 -Non-PDF special ignored! - papersize=265.11548pt,137.71072pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -No file verilog_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex)) +zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)) +zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)) +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +Non-PDF special ignored! + papersize=427.40239pt,233.55751pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +Non-PDF special ignored! + papersize=470.39511pt,294.14694pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)} -No file simplified_rtlil.aux. +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex)))))))))))))))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarycalc.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex)))))) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def))) +No file basics_abstractions.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +No file approach_flow.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +) +No file basics_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +[Loading MPS to PDF converter (version 2006.09.02).] +) [Loading MPS to PDF converter (version 2006.09.02).] -)] (./basics_abstractions.aux -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty ) +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) +No file verilog_flow.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) +[Loading MPS to PDF converter (version 2006.09.02).] +) +No file basics_parsetree.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +No file basics_ast.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +[Loading MPS to PDF converter (version 2006.09.02).] +) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -40847,65 +40868,30 @@ [1 Non-PDF special ignored! - papersize=312.83241pt,276.60544pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] -(./levels_of_abstraction.aux) )}] (./basics_ast.aux) ) + papersize=265.11548pt,137.71072pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. - on input line 11. + on input line 12. [1 Non-PDF special ignored! - papersize=332.32571pt,94.39902pt + papersize=398.7384pt,152.54237pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -Output written on levels_of_abstraction.pdf (1 page, 16544 bytes). -Transcript written on levels_of_abstraction.log. -Output written on basics_abstractions.pdf (1 page, 16368 bytes). -Transcript written on basics_abstractions.log. +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 11. -Output written on basics_ast.pdf (1 page, 7708 bytes). -Transcript written on basics_ast.log. -pdf2svg primer/levels_of_abstraction.pdf primer/levels_of_abstraction.svg -pdf2svg primer/basics_ast.pdf primer/basics_ast.svg -pdf2svg primer/basics_abstractions.pdf primer/basics_abstractions.svg -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))))) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) -No file basics_parsetree.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) -No file basics_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -[Loading MPS to PDF converter (version 2006.09.02).] -) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))}] (./verilog_flow.aux) ) -Output written on verilog_flow.pdf (1 page, 9528 bytes). -Transcript written on verilog_flow.log. -pdf2svg internals/verilog_flow.pdf internals/verilog_flow.svg -}] (./simplified_rtlil.aux) ) - + +[1 +Non-PDF special ignored! + papersize=384.5717pt,194.61621pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -40917,10 +40903,6 @@ Non-PDF special ignored! papersize=466.24498pt,209.70209pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -Output written on simplified_rtlil.pdf (1 page, 15590 bytes). -Transcript written on simplified_rtlil.log. -pdf2svg internals/simplified_rtlil.pdf internals/simplified_rtlil.svg - Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -40931,49 +40913,142 @@ [1 Non-PDF special ignored! papersize=454.72775pt,87.0827pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./basics_parsetree.aux) ) - +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}]}] + +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 12. + + +[1 +Non-PDF special ignored! + papersize=312.83241pt,276.60544pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}]] +(./levels_of_abstraction.aux (./overview_flow.aux)) (./overview_rtlil.aux )>>><> +Output written on overview_flow.pdf (1 page, 15179 bytes). +Transcript written on overview_flow.log. + +Output written on overview_rtlil.pdf (1 page, 17629 bytes). +Transcript written on overview_rtlil.log. + +Output written on simplified_rtlil.pdf (1 page, 15590 bytes). +Transcript written on simplified_rtlil.log. +pdf2svg internals/overview_flow.pdf internals/overview_flow.svg + +Output written on levels_of_abstraction.pdf (1 page, 16544 bytes). +Transcript written on levels_of_abstraction.log. +pdf2svg internals/overview_rtlil.pdf internals/overview_rtlil.svg +pdf2svg internals/simplified_rtlil.pdf internals/simplified_rtlil.svg +pdf2svg primer/levels_of_abstraction.pdf primer/levels_of_abstraction.svg +}}}}}}]]]]]] (./basics_ast.aux (./approach_flow.aux)) (./basics_abstractions.aux +) (./verilog_flow.aux) (./basics_flow.aux) (./basics_parsetree.aux) )/usr +/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb>>>>> Output written on basics_parsetree.pdf (1 page, 10896 bytes). Transcript written on basics_parsetree.log. + +Output written on basics_ast.pdf (1 page, 7708 bytes). +Transcript written on basics_ast.log. + +Output written on basics_abstractions.pdf (1 page, 16368 bytes). +Transcript written on basics_abstractions.log. pdf2svg primer/basics_parsetree.pdf primer/basics_parsetree.svg -}] (./basics_flow.aux) ) + +Output written on approach_flow.pdf (1 page, 15288 bytes). +Transcript written on approach_flow.log. + +Output written on verilog_flow.pdf (1 page, 9528 bytes). +Transcript written on verilog_flow.log. + Output written on basics_flow.pdf (1 page, 14279 bytes). Transcript written on basics_flow.log. +pdf2svg primer/basics_ast.pdf primer/basics_ast.svg +pdf2svg internals/verilog_flow.pdf internals/verilog_flow.svg +pdf2svg primer/basics_abstractions.pdf primer/basics_abstractions.svg +pdf2svg internals/approach_flow.pdf internals/approach_flow.svg pdf2svg primer/basics_flow.pdf primer/basics_flow.svg make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/_images' make -C source/_images convert make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/_images' -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_04.pdf code_examples/selections/memdemo_04.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/select.pdf code_examples/selections/select.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_share_full.pdf code_examples/opt/opt_share_full.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_share.pdf code_examples/opt/opt_share.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_muxtree_full.pdf code_examples/opt/opt_muxtree_full.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/extensions/test1.pdf code_examples/extensions/test1.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_03.pdf code_examples/selections/submod_03.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_01.pdf code_examples/selections/memdemo_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_00.pdf code_examples/selections/submod_00.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_02.pdf code_examples/selections/sumprod_02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_00.pdf code_examples/selections/sumprod_00.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_03.pdf code_examples/selections/memdemo_03.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_03.pdf code_examples/selections/sumprod_03.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_01.pdf code_examples/selections/sumprod_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_02.pdf code_examples/selections/submod_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_05.pdf code_examples/selections/sumprod_05.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/select.pdf code_examples/selections/select.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_04.pdf code_examples/selections/sumprod_04.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_00.pdf code_examples/selections/submod_00.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_03.pdf code_examples/selections/submod_03.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_02.pdf code_examples/selections/memdemo_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_00.pdf code_examples/selections/sumprod_00.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_05.pdf code_examples/selections/memdemo_05.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_04.pdf code_examples/selections/memdemo_04.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_00.pdf code_examples/selections/memdemo_00.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_03.pdf code_examples/selections/sumprod_03.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_01.pdf code_examples/selections/submod_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_01.pdf code_examples/selections/memdemo_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_02.pdf code_examples/selections/sumprod_02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_02.pdf code_examples/selections/memdemo_02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_05.pdf code_examples/selections/sumprod_05.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_01.pdf code_examples/selections/sumprod_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_05.pdf code_examples/selections/memdemo_05.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/cmos_00.pdf code_examples/show/cmos_00.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_first.pdf code_examples/show/example_first.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/splice.pdf code_examples/show/splice.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_second.pdf code_examples/show/example_second.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/cmos_01.pdf code_examples/show/cmos_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_first.pdf code_examples/show/example_first.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_second.pdf code_examples/show/example_second.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_third.pdf code_examples/show/example_third.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_share.pdf code_examples/opt/opt_share.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_muxtree_full.pdf code_examples/opt/opt_muxtree_full.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_share_full.pdf code_examples/opt/opt_share_full.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/addshift.pdf code_examples/techmap/addshift.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mymul.pdf code_examples/techmap/mymul.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_01.pdf code_examples/intro/counter_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_03.pdf code_examples/intro/counter_03.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_00.pdf code_examples/intro/counter_00.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_02.pdf code_examples/intro/counter_02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_proc.pdf code_examples/fifo/rdata_proc.dot %PDF-1.7 %µí®û 4 0 obj @@ -40981,20 +41056,12 @@ /Filter /FlateDecode >> stream -xœ­V;o1 Þõ+4t°+$E½Æ( -èÐÚKdpã&A M‡¢ýõ%¥sîÜÔ¹ Îb“2Eñõ‘D ò·BùÀíõ£ùaÀùB’2{d! -F²_>Ú³-ØÛŸí/öBþïÍå•H€Ýûɶ18–ûJÑÂÞ>Ø”f¶ B‡à2ÆFËdzŒÐÝݳJ±¿\é;3|býš½O·ö|csçì3ÉÑÅ" -ŠÝ<š³›¬$vscÛåæÞ|ØŒ=XÑ…,Gûô}–E賘ŠÃÿ›ô~²IQƒ£B<̵Éf:fÑù4‹ä‡E¢s¦EÉkâü›.ï–«´ÀåÕæ¬ÀEHèI8!XDv{‘í2-v»*7êAi.(1ÛÂd«¼¯“‚ꃊ°¬q…è@0$ ":’œ…è¼"—CQ8q,özÔìzå>ºƒê–r -AF‡‰äW£ÅÂ7c•0Kûº6½‚’…Sb‰çƒNˬոRóa‡œæãCØ%1;òòëУÓC¯yQ©Ù^(øЇ¢Ï£È¢2%ºœZˆ€|-jÒo®cf -üH‚c-K{„`í8Š ‡Øaä…ü(g¿Ð`(Þ#Q?êÒá¬/©Ÿõ•f)Ó®›^ ýà~cîš7ðÅÁ|,ïßÞ’wÄ8$çsªœÔ¥K’ê¢í—[æåXF§ `<ïãm“!jd -P—²TjÛ”Ê -Ñæàõmó@ø|`± -Dß,ÖI¡Å‰I‚ß -ß¾5@SŠUœ,Õ—xo—œv¥”4â’:K+¥åÇ‹uî ­X=›¸«!edÉjuè%ou3ÕhRŽm5­LÂ^L™¦À ärh¨ÌÝáS³úTÑn{|Mø=­`ÅôeàºÎºCßyàTljý¤pæYÍ@4ÀPMåZú'ç…€_kÕ&C–|h;1q݉)£zDjËr¼à)¢.žuI|êr­g’¤–üÀXi¿E׺:Ðö õ@©Î…Jv>à¤V£zu@í_nËJ=•P÷oïe¦8ò}kóÙü Àä_ +xœ­VMoÛ0 ½ëWð°CrˆJRßÇØa[.CÑCѦ-Šf@»Ã°ýúQ²d'èÒ°Q¤á‹)ê‘â“I€ò·!ùG‰áv¯^j“˜\Ô.ZCVŒÄÉ÷ÏpqƒððKüV—òyRW×âp§á $ „F' °Ï &ÖÀ3„¨)Úüå9§#ù†,Ž~b×jô#²Å±iðQm÷ý=ò¯Ðm•,DD¶¶f>@Ï‘5%‰`»W÷ÜØÞ«ÕÍzû¤>mÏ”‡ J)y°^w³8‘Éå‘øÿsú8™“7hÙ§˜Ïsyå“8Áª›ÆJD?°*E›ÉÊ'pé««Õ‡õ&¬h}½½TÔ]À$Ë5²âr×\vk³z)ngs`Ä–C6È1‚§zðǤʊ$R-,9-¹DsÒàF'%ˆapVcáö,ë3§II[ôàHW¶–¦ëALÚ³œMÐ’VQí÷N°¦6˜J›¢Ñ>‹^(³åÜy¢œÙjïc¥Ü@™Ù@¤â2P>tïT^@è–U{Îr¶Ü‰ã ¬Þž/x²f¾â©ôD%†nÍÛ÷EÏçEÿs7]ñ-‡b/CÖ<^Lô"r~”OÑ“ï; `2:Æ0_@Y$”÷Ç¡)ˆÈ÷ªz! +Úت¡7+Žt/·•xŒ×•ŒÄA4ï wËòm$šñ‹p÷(Û9“÷zƒ·ë)ã|ó4îÇ+:eòƒuøÈÀù:ĘHmÀR…ê­¬¡œ­?*DÃ}%Æ­ç•Â½'À¿“*ÁÒuÊbiûb²\™ìª-·F Ìm_v óVR´:ЕßB)Íð¸S™V{d^½]‰UP™õh jÄ®rk/Ÿò«á]ïÑ©¯ê&ö}þ endstream endobj 5 0 obj - 809 + 727 endobj 3 0 obj << @@ -41023,38 +41090,49 @@ 11 0 obj << /Length 12 0 R /Filter /FlateDecode - /Length1 5532 + /Length1 5752 >> stream -xœíW{tUš¿·¾{»ºª»««ú‘IèN§ÉûA‡ !”‡ ²á Ø„¤CL!ÈÈ0ò „‡(™™ :øØÈŽÅÕ¨ ¾wf„QGÝŽzNÌqfYFRÙ¯:83;sœ³ó×ì[·«ë~ß}}ßýÝ*B !2i'@|w4¬lzßþ`;*.",½£yƒoÉ£W q”BÕ4­iø¤ý÷1B”_à¨=kÖn®I;Z†õÇp̸ÚÕ+#y¿¹y!Îå¨_‹ -—Ïö ʇPάmØÐRc“PîE¹xmã+ )ǵœŸ¢<®aeK‹òBÔT”}Mw­nÚ¸Ùò%ʸ>¿¤Æèd5¼­IŠng¯Ë«ÔÊ«FŠbúÇõBÿ…þb·æׂ~Í_Ãȵõ0êÚÇF§¨|õ‡»,9æÿe{XzM¶êE£ü¶d¢ÚikòAë~·_`oúþ1îj{«"$sâ/Èpä§8I–§d$äæ¨Wc¸B(tEÐ\áðXR4pÅøòwêÀ—Z8¬…]áb=SÍmÌmÉîËe­Ù}´O胋ñ˜%æ%Äû’ú’ûRU´Š–2,^OBIh¼[+ñúKÈS:n|‰¿tÜl¥å´$”EŸïúÁÎ ë÷övÝSºêŸüÅÀ{T8¸ãÎ{ÔÕO-þð:ïrskã=ûèóC¿Š®Ÿ=ãù®Ÿ>=kóŽÈªwrrÞÇ`aóÈßç1.ò¸~+‰p "T°X¸KÔˆ…;ã*•¢U¤ªUTð¶Ù‡jµŠs‹Òˆq²k´¬’ASŠÃfq%·nõ¿i‰f@Ê®ô'†.ôc æ,¬UþybH䟛?Õú¹)‹qÕuaIÆél¥Uz‚“8©Sq:Y6϶d;²•|-Ïe©"UÔ/ÑÒŠCT~(ñÒcB¦104s»àyDðº|²m¨£­[HÎ}“åõƒ?ŒFéL£7júdúAß­D#™dºž•.6J^µ1q•›4J[]ª,Ò¤ôLNÊ(¦ø\™Ñ<þ zµ?t%db -]‹g»¿?„:u \ô‡Æ›YB“â•<ŠFjR(Á뱈éÔëa~:Hó~~ò§OîÇ» cÓ /—?úøÄÑΟïDŸúÙÑ­;wµ vF9©¶wÇC®¤WºÿãWq»Ÿ0Þf ±Kjô°ÅáÃü΂|§â&«óý¾ ¸zTZJQ~u(Cö¦¸A”ˆ*+`ñYsòƒ©@d‹’(„LÜÆ0-WúÕïàfA×Êbý¡ 1u ôësj_ŸæJDÇÊ)º“ -zHµ’tš¨¢nü­D3…@!ÍÒL-¢À&áôºMÍwEÖÜU×d¬ZËŽtþî¹b‘Õg–4Øw7yöÓ·#ÕoUÈw®XÜ2Zx@¬«œõͤž]@?ÖÞÝõ²Ú«Ö±9Æà†HFÙ8ùšÓøUdBÉ ã*ÿ÷l‹žÊL˜âu.Xå–:+]O$QcD°©b±k13O¡«1 ·cƒ ‘ÆitN¼¨ò_‰è8©Ø†û&j&.Ñ%ñÑ"©HfUØÇï3Ü7Ò‡èñ>þR¿ÆKƒ%šßK”oÓµ»)‹²ß¼²½°5Ž³nù-æËCüä}LS½@’9FµV÷:Ò*V;:W¾W…,šOJSSäÜ ÙÕ+×ÊÊ®˜f_é¿ffhÀÄ[±.‘€Øè 0Zü– „Òq.ä„Dk0Â#ÂJzŒ#ãÞûú«³[ÿ­é=رïÀ}£Ñ“‘tÂà ¿""äD¿y³îÅ7.}øÁG¯›ñþ ÷ÇRÜZ¤OwØ[DÕT9"É>T› D« ©ŒÁ\™:m& 8,«\´‘Ø5›[‘5AòŠQÜ™šÆI¦àU/\‰]»CŽt…µ‘_x$-eÈÚŸsCœFÈ 1„tàL@:8M¨™ ÉÌ…7ž//ñ^ÏWBOï5¦¾’…Oê뇺…åøŸ?t!Šï!„ÍC\§’¹ºßî!NÙ DRVó:Ç&Íã°'™&Z¬Š¬R—- ÷°IEªyÐÄOž/ŒaŽH±ÍgØfØgxfx9. ™çóz¨E¤¦þRßÖjiª¯ö3ªo%Ù{…ÆצгíîÞœ­£r¸ì£—ß7þ=Ûzîëüf÷áSûL|OF|÷^Ç÷MzÐÏ5Äwú!kÒ!…¶â[©¶v¸F¥ØJÝB¾WcY¤Ø„7—ÈšïÓÛ˜é &Žã溼Ï¿,Ä9ú:¸ûŸ¤u&¬¿ú*†°6Óºƒ{Ø‹°æzt¨!²ÂxcpÐx}Eäd”nì{ý£>¼ôÆ‹&®0Û†V¤RЗО/£R…rÂéTl² PœŠõ„hEÑZ.Y©€t"ˆå‚@çZÓg -¼)û]ÉB«w=˜&xY²Šœ«ÓžXàµC’áÄ8ÄÕþk&ÂÕ_Ÿ3ùGs}'ÔEUùã ZÍ3PTÌ3pi¢º„ÖÑÊD§WÍá9–\9×–¥d9Ǩ•t¡pŸÏo³Ì·,Ë‹mµ4"TójKDl&›h‹°¶² |ƒe³dâs³²Ñ¹QmÖšSšG5§f#Vù„ÿ‰ÔøÛFV)ò;Æš>7ÃX¿™~vŠæѼtÿ ÔÚXtGèvK¸l¯< o!nƒÂûõõß,º{™ê|³bò“› 7÷cŒ$ªgHåC¡Ü*YçÚ$&´ZŽK­Dd(àrhçD1ƒÁ° aÈ©±o#4ò>ð§Ý[‰olËÈÆþyP# U%¥=0¹Ø÷áØÐï£P3T#L«_ 5½4°øÚÑ—Œ‹$~z1ßrí„ óð™NTÔ(d¦ éJ öVz¿pNøÀ7ÆWì›ä{ÌŸ1 Çèqú–®ëå–×èkÖ}ç ßTþÖ% Ï%þ•…þ[þ×ãe<ÿÿú‹‹Êd=f¢—¼‰åm¬ÝL¢ø¦y/éÂúÇdK\ÿ³š5ïq³ïÛ¤—æ`?Á,´ˆ˜¹|ç)Á¶± Ê]dU¼}ÎÇË18/l"ÌÇÚüøˆ.Ò “ñ0>?rÇG½I¦çÈ)³ÎÏ“Nì·€¼ƒeÎ>›½4*ã™ŲŠ_Œ—/Èòøʦ.Ê/"Ów‘(úù<ÎÞ=¢§9tTC ­Dz -f¢v‰²j,YñR÷oÄAØ‚ë_÷—œ– 9,‹žÂuÌ5Îãü§È´·-‰·`ÚŸ‘.°£…Éü%2[œm±ã1ÖFaë(¡Ç,i¤š´Á"œaêö’yô\¥§-œ~‘äûÔ!8+Ò£ßZé{m‰¿ ÿ/DŸ*úzÈüÇf_ïððüJ6Š/éá©=´ö°`àòw5^.ÈŸ3¿Ò×óúôŠë³N¯®@ÝÂJ¬šªQ?½¢€ÄYG¸½÷—µÏ„V8Ëþ‹ŒÙÔ—þöùõ»C“Ôw­—P41BG€…¶ÁH#DKûúÝkMê»×õºì #ÆÎ’þêðU~™¬ã‰ä ¼ùhÔÍþ ë{°>™ídLŽŽ_89~K ñþyû+$¡.¤›J$ü¦ÛÑŒbB¤Cæ÷ük -É#GâÖ©ä¨É$ÌFÿeÖ+´ë—^5àœÎÆ2ùÙœÕY,^qÀË/eñ—#ðRôUÁ‹mð¼ ÎØà¹g=ü¹<ëÞ öél/ÖöN‚Ž=gx‡{vWñ=g`O;Û½+ÈwWÁníB»vaç½ß™;{‡ûôav¯;péø~1lO€{:á{6hDx»ÛÖª|[2lmSøÖ´)p÷'¿Û [œÐÚ ›5h‘aS³o„橼ÙSaÚë ¸Ë€uM¾Î€&4鬱 îl(çwÖCC9¬­·óµ*¬mgõv¨×Y—ŒB]í^g@íš*^{jÛÙšš _SktV„ÕØiõ D"p‡V°Ò€ê…¼Ú€…p»U,ŸËÚ`©K* Ò€2`ñ¸Í€…Xà[C0ÿ'Ÿß·8aÞ ú4¸Ù³#0+ÃÊguÂÌÜ*¿É 3\0]ùôd¨¸ÑÍ+êáÆi*¿Ñ Ón°ñi*Ü Küèèf²©PÎ -xù\˜RæáSæ@Ùd™—y Lg“e˜vñIUž¨ñ° &j0Áã ü|òðRÆ•¸ù8”„d^â†ÐX‰‡däg¬ÅEI¼¸Š -½¼( ŠúXaºÌ ½PØÎ -¤/è„ü<ÏŸyèDžòt–‹¦çF '»˜çÜÙhXv1dá#Ë€1“ èHâÁ*È ¸xæBà°€ :Ë°‚ß—ÄýUà­q_øúØh\l´£ÛYº é:K @ªFeBJr1OYÉ8kr1$ˆ‹& ‚×ãáÞzð¸ÝÜãÎÜnpa?×Ð0¼š*>ÔiàDû `›b€'p$Cgvl(Øô‰õ c¹ ¤XE[= j`á!niŽãx?U¬NŠ¯zt!h/ìØKóþÏ^ämÀß¼ýÿä, +xœíX{xU–¿·NݪêêWU?Òy§›¤ÉûA7‚ + ÈC$˜1° ¡&„ dtPÈcÂSÉh|EGݨAtÆAvGœýÄ ã°_ȧ³ŒŸÆ¤²§ºqvÖùœïÛ¿vÿØ{»ºî¹ÏsÎýÝ߹݄BdÒB€xï]µ¤þ²é±6BÌ/ÂÝuoÃZo[ð™„ØBè¾êúå«>où2Bˆ½GíX¾rCõ_^(]ŠågqŒT³lI(÷w·O!D)º15Xáðš»Q®A9£fÕÚÆÉ[ùPnEyôʺ{—2ýS”{P·jIc=_Ë‚(²·þþeõë6_¢ÆÂî!©ÖÛùjÖ‰ÚŠ$Q³ðïá*±JŽ'…‘ }£ˆr¡ïB_‘Sõ©~Ÿê«æÉàH¼ª·‹¶¯ÿ|¿mÌ1ü~_†Vg‘MZa’Ïœ@ mJØ+µ9}»Òw¦¶luVYšl\#¾üÖ¼D;É4³Äq9ÙÊ®ô*ýª£¤d)ìïÕû¿ú£ÒÿU¿ZR¢–8JŠ´ %§.§1«'‡oÊê¡=\Dø‹w$.âé‰ïIèI´VÒJZœ>Bp»â‚1N5èöÛhúˆ‘Å£Ç}Å£Gb›˜^<‘qPx}ÛÃ[×®ÙÙÝ©wNè¨}ñ_ú?¦ÜÞ-÷=¨,®z¥â÷ŸÓ9WšêÜEO ý6¼fæ´S?uƆ-¡¥—²³/£3 7ŒûÈ.³‹èyN»ƒ„˜À¸å9TN Ì­R'J"U$цÙÂYIgs‚­ý$_',•AUì6«Yq%V§ œÊ…ߨÃ!¥½}žÀ…>tĬò¢Â®{"»n|éº!‹Ñª›ÂÂ/e9(­ÔâìÄNí6»ÏbYB–5Ë–§æ:„JRI}&Z¤˜ÑEAðAÐMqzÿÐô‡8×Óœ{ïЕcÍC­Í\ +wöÛ”0«øi8L§ëÝaÃv «ÑöÚ.•d©ZfªXgr+už¥NRgÚäPd‘Ƨf0bµ%ñ6_œ#ÃDT—ϯÜè ô L¡iÑÝîë `Ò_Rä÷Æ»„*E ¹•TÓ‹}8·KS©ÛÅûèÍ}ùØÏ_Ñ/êû÷wêúú7Þà®|võèÁ7ÎèÒ/…ÿÅáð¦­ÛšÚÌԾֽåqGüÛÿöÛ¨ÞÏë𫈕Œ"ÕZ‰`uä}ö‚ü<»ÍI–åù¼~qYRJba^U`„ì-Ht‚h"ŠlÁ+eçù“È‚Íà ÜFp[zû”~ݯôãaAÓJ#} ¥?ðáY¥§Guxа‰ÍÉZç¡j0•zÔ¬3V ª†^@3UÃ@ATÓ±‰{iõú†ûCËï_Q¯/]Éhÿã‰O"¡e'®²loøêÌŸ>U½_&ß·¸¢1;,®X07ìšN]ÛZ^ÕžÚÞñ–…ZôÒ¨l}`íÃ&½ôÖçv{×®ÿ™Ú8dBÉ:ãûÏl£–Ì0ÄëlxÊ„]CL¢Êά\ˆD#Æ>nDT<ŽQ òˆ4‘FguÉó¼NÌDÃIÍÄ<Ü3N;n¡fò/-4Ê|%ö1Gû ÷Äú-ÚÇWìSY±?¨úÜ4òútåvʇùß½ýPß@Sg1n©@nq‘$2GKå$w‘²6K|9ìhµT%K&w"I¢‰öœd\­ß1ØקèÈ){8¼)ZÊæ8OÎÓóÜy÷ù$fp…/FcƒIâÑ$ b5X‚¯üü‰Ç7NÛ—wýô5ý*ûìKÊ]voݼGáˆtéòææºhP¤£õK/žxý×nê«Wð@}ãH:)×rÓE§Á>™4¹m‚Ä}J«\ºŽdðy§éæòHqJ¢5'•¿Ñ,-íEõûúÐÄB«ß8(E/oöwù9T:ÊjAÕP™+í@*ó££ä³§˜v ýûócžÚùæë3ŸCkô{[wíÞ½«u/œú–ÕÖÒ«¡ÅtìÀ³8t¬Vo}óןþþ“Ï~e B‡¯áÙ¾ ϶‹jS­ÎRTE™d_ŠYD‰3)<³ej7 f–:h±¨f§MV9“[äˆÍ™¡ªŒdpnåBod°7‚üî(QcŸ’¤J‘ÙÔ¿åµ(‰ÅˆÌ@*³Ç!•½Dâ¨#“#wkn⾉µ(¾fuYæ/šÕ圿ÈèEšÛèCcH”ÇÈSåEp—Z-7ÂzYM’,`M%Å¢XsÄ©0n¼8^k'O2—X&YJ¬“¬“l“ì“”i¦æ +ÓBó"Ë"ë +s¥ÎRc­³VÅ5ˆ R£¹ÑÒhm‹ËF^5v†"a¹ÓM±—±]ô¡7õ`+—û<]G×=M_Ú©Oz3Ì%pŸ×ÖurwãwÞÐ…0*¼ƒ~žÉd2[óY\Än%k¹Pâ2¶Âº^uY-"S Ùd…:Ì)È?*FŒFͯúõ~(Þä&J&i¶ÙÄsMÂS1q2ä39_´0¼£³"1lÐÖõˆùÎC±;Îz„‡- +æ<^^ÁU›¸Ê`1r|º_ xÌã }†ê¡jnJmTwÓôŠÁƒ§õ‹QàÝÀ¸¹[ÏÍÁw*Q°ÆF6“aZN— ³7Ñ=ÜYîïHo‘w¼÷Y߈áaãNM:è“œ"Ó‡H'½HšÉN²& +½*ã] Œy)»Í_»£+uavoÓ$ŒvžÂÙ;cõ4›Îƒ*¨¦ ÐBŽ‡éX»…„ù*Ì™Ñ\µ/fÇmÄõoÚKÎqwsÙ|&=ŽëkœÃù“ ¨o5j:ÎЮ‘0—ÀN“™âLÁ‚á­™ÌÇÖ¤‡„REša>Î0ëv’9ô®‚WÉWÆþÊÊó*]œF¨K»c÷Ý…¾ü¼ï‰^Eôv‘¹]Ö Þîáá¹ ø$¶°‹%w_êâýéW~¨ñJ~Þ¬¹ ¼]¿šZvsÖ©UeXW¾‹†„ÕX?µ,?vʸ{º='¶¼ºØ^ú’;†ŸükÉwïo>¯|$}JŒ3ÊÝ<—ø-®ÒSð·|Ê7 Ö+ýõ¼~—¬ KS!­…O•!UãSÒ!ÙI˜PÄË!gM(‚x<¸¨G‡8Ü.sׂËéd.¸4ÞéösœÝ«ê àK™vÔßÞ6l³é`Å ¬ñ`Õx‹fÌÚ¸Z±Ü ¦H¢Ê$ˆ*,À„f`8Žð磂%ÀIñÊGËè@»ihËNšû6‘ÿmþaÂ(ðŸ§v! endstream endobj 12 0 obj - 3866 + 4061 endobj 13 0 obj << /Length 14 0 R /Filter /FlateDecode >> stream -xœ]‘ËnÄ E÷|…—Óň¼š¨ŠTM7Yô¡¦ýL©!ˆEþ¾<¢©Ôø`ß‹Œ¡—áe0Úýp‹уÒF:\—Í „+NÚ²©…?Ni3·„ó¸¯çÁ¨…0ô3Wïv8=ËåŠ軓贙àô}sjܬýÁ‡‚ô=HTáºWnßøŒ@“ù<ÈP×~?ÛŸâk·U:—¹%±H\-踙°¢è)Õ4ò_­<,W%nÜÖ–AZ!ÖdnW™«ÀU“8Âꬩ£¦Íù6柇4Ù[Go›¹ÜeM5ÏÌS“G7±Ý8×ûÄæ\A~z{|µ6xÿ»ØèJë4j† +xœ]QËnÄ ¼ó>n«¼UB‘ªí%‡>Ô´À‚“"5rÈß×Àj+õÛ3–²Ëð<í!{w«Ñär¸­»“WœµaE JKËâ-aYFâñØ<.ƒ™VÆ9dÔܼ;àô¤Ö+>0ÈÞœB§Í §¯Ë˜Jãní.h<ä¬ïAáDã^„} BÅçAQ_ûãL²?Æçaʘi%¹*ܬ脙‘ñ<ïOSÏШ=zJ”\'ù-ãmAÔ<§Àxpq™pI¸¬#¦Àx•8Uà´MÒ6„»TïB½yŒ˜ñÓœ*Ìi1ñ1𧠜N$,â·ÍÂêÁã»'rwŽìˆ}hƒ÷¿²« ªx~(P‰8 endstream endobj 14 0 obj - 276 + 282 endobj 15 0 obj << /Type /FontDescriptor - /FontName /NRETEM+DejaVuSerif + /FontName /VNSMZG+DejaVuSerif /FontFamily (DejaVu Serif) /Flags 32 /FontBBox [ -769 -346 2105 1109 ] @@ -41070,12 +41148,12 @@ 7 0 obj << /Type /Font /Subtype /TrueType - /BaseFont /NRETEM+DejaVuSerif + /BaseFont /VNSMZG+DejaVuSerif /FirstChar 32 /LastChar 122 /FontDescriptor 15 0 R /Encoding /WinAnsiEncoding - /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 640.136719 0 640.136719 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 564.941406 526.855469 ] + /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 0 0 0 591.796875 0 0 0 0 0 0 0 0 644.042969 0 0 640.136719 0 0 0 0 0 0 0 564.941406 526.855469 ] /ToUnicode 13 0 R >> endobj @@ -41087,7 +41165,10 @@ /Filter /FlateDecode >> stream -xœU‘Ak„0…ïùïRЋumw‘=¬ÂRJAÜžZz1¸b$‰¥ûï›èjiæ4oòÞ$ ¡v IAó‚ÐGdÅž”%â·Û(7¬†ˆ_dgð Z|ΨRÓ`AÉñ8O4ZugR+Ј>E9‚«µ£9ÄñL{ÍÆ«ä&RºÃå-˜•j¨™êCš¤iB]Íç=\ïÿK„çêG¦…àCÍàUt’ÔKš¸ÊŠè¾ØòÖÉ òMÖjQ–¾ñýâ1Ó]Õl0£÷â·?ÃêI¬]åTµø–\´ç“‡.³ç­0jÒ\d›çÅ r»D7îþ­W1˾TßÎ=þ}9'ú‚Ÿn +xœU‘Ak„0…ïþŠw)èEMtmw‘=¬ÂRJAÜžZz1¸b$‰¥ûï›èjiæ4oòÞ$i@ +ìÒ€‚äE@‘û ,‘¼ÝF¤a½0€äEv HÑâsF•š óD£U7q¡r&µ‰ÉSœ#¼Z;šC’Ì´×l¼Jnb¥û(Z®Ñ‚Y©†šY°>ДҔ¸šÏ{´Þÿ—ÎÕ6L Á‡šÁ«è$;©—4u•í +=ÝòÖÉ òMÖjQ–¾ñýâ1Ó]Õl0£÷â·?ÃêI¬]åTµø–\´ç“‡.³ç­0jÒ\d›çÅ r»D7îþ­W1˾TßÎ=þ}9'ú‚n + endstream endobj 18 0 obj @@ -41103,18 +41184,35 @@ /Info 16 0 R >> stream -xœc``øÿŸ‰‹D012Ïf``dàÌ­ 1 Kl`±Xº€„Äjk>‘†@Bt -ˆX 1…D03JŤÜú¨Ú +xœc``øÿŸ‰‹D012{2002ð fc%n ân,@BRÄò"] bãJSA3£Ô ˜Ôq¡œ + endstream endobj startxref -6727 +6855 %%EOF -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/addshift.pdf code_examples/techmap/addshift.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_wreduce.pdf code_examples/fifo/rdata_wreduce.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_gates.pdf code_examples/fifo/rdata_map_gates.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_memrdv2.pdf code_examples/fifo/rdata_memrdv2.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_luts.pdf code_examples/fifo/rdata_map_luts.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ffram.pdf code_examples/fifo/rdata_map_ffram.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_adffe.pdf code_examples/fifo/rdata_adffe.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ffs.pdf code_examples/fifo/rdata_map_ffs.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_proc.pdf code_examples/fifo/addr_gen_proc.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_flat.pdf code_examples/fifo/rdata_flat.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_coarse.pdf code_examples/fifo/rdata_coarse.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ram.pdf code_examples/fifo/rdata_map_ram.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_cells.pdf code_examples/fifo/rdata_map_cells.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_alumacc.pdf code_examples/fifo/rdata_alumacc.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_clean.pdf code_examples/fifo/addr_gen_clean.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.dot +pdf2svg code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.svg +pdf2svg code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.svg +pdf2svg code_examples/opt/opt_share.pdf code_examples/opt/opt_share.svg +pdf2svg code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.svg +pdf2svg code_examples/selections/submod_03.pdf code_examples/selections/submod_03.svg +pdf2svg code_examples/selections/memdemo_01.pdf code_examples/selections/memdemo_01.svg %PDF-1.7 %µí®û 4 0 obj @@ -41122,15 +41220,20 @@ /Filter /FlateDecode >> stream -xœ­WMo7 ½ëWèЃ}XE¤¨¯c -è¡Í^Š ‡ÔnÞCmÿ}9;¶»ñ30Ö g9Ô)>½%ñw üc)þîìþt1¤Î”«!7I$0:w!ö¿þä_}ˆþÓ7Gþoý|¾¸wïý½£èö]<õ Îæ4ä‘ä|mÔ'çШŒŽÄE˜:Cw‰ãX.ç³{´ÔÛïÿúÉOŽ$ÄYdÜõì©(”Ž ÝŸÎîÕÇC<‰?}t7nO_ÜëÓ ¥¡±aÍ»/Ýýc(JmÈu Õ«QU¤:{ 쇭ÐðákÀŽëI¼3{30­Ího×AS8%ÍЬ«‘Õ¬È8ú_dïn~¸=Ôº}zã1Té½Ud±’ ä~ -9ߨÜüõE¾¸¦4oEí¶ÂLßëþo«JlÌд¾Ä!a§êéa¯¬€%pBÇ€ÖÐÄþîEØ/´•zpe -=².®g03 -BŒéÏúNWã—çñGÇ5ï9äÜÒC®¨¦!O@ºÇÚö!7`ã°†|¬ÙÖ!78ãd¨½Ãd$Žk†œ÷ò”Ûe+°÷ØJ){9¦ª¶> y­{¥ž‚Î'<® ÃÍ™Cµ`cƒ«`ÀËÀà<V_Í)ô´™,“UƒäÄ`Úi5:Ð¥ZîÊþ!åltð<þh$õ…Úay¢v°èE혣gSgH°P;Xa‘ÁœÏ—Ú¦vèêðü¾º³É4–ŘÛ#жJ¼Ll|kEdÀWîÁßMš X/yâoîÆß1Pe;³=_øûq¼5¬-Ôi}"NËB›–QšÎ1°ÇwÜÔº´Ï²´í¢J“oW%éÝÚ6Õá’Eup°á¨™3yçÚÈê–¢^A ëÊöáEÉ;.ò˜¦™^·ÛÑzbR§¡CO¢q¿â e_¦ú nž: r_)A´5` ³2:¹.Ýày.±µ,²Ô¡_O–ܦòº¦ºÚµûu—&éï‘lŒ$ ¹Ìa¡€{§ ·…{uWDHÙ.Ž”ñŠPÄÓZ'6´ÖóUm] mlÝóø£Ie,¤yhð8Ú³-ØÛŸí/öBþïÍå•H€Ýûɶ18–ûJÑÂÞ>Ø”f¶ B‡à2ÆFËdzŒÐÝݳJ±¿\é;3|býš½O·ö|csçì3ÉÑÅ" +ŠÝ<š³›¬$vscÛåæÞ|ØŒ=XÑ…,Gûô}–E賘ŠÃÿ›ô~²IQƒ£B<̵Éf:fÑù4‹ä‡E¢s¦EÉkâü›.ï–«´ÀåÕæ¬ÀEHèI8!XDv{‘í2-v»*7êAi.(1ÛÂd«¼¯“‚ꃊ°¬q…è@0$ ":’œ…è¼"—CQ8q,özÔìzå>ºƒê–r +AF‡‰äW£ÅÂ7c•0Kûº6½‚’…Sb‰çƒNˬոRóa‡œæãCØ%1;òòëУÓC¯yQ©Ù^(øЇ¢Ï£È¢2%ºœZˆ€|-jÒo®cf +üH‚c-K{„`í8Š ‡Øaä…ü(g¿Ð`(Þ#Q?êÒá¬/©Ÿõ•f)Ó®›^ ýà~cîš7ðÅÁ|,ïßÞ’wÄ8$çsªœÔ¥K’ê¢í—[æåXF§ `<ïãm“!jd +P—²TjÛ”Ê +Ñæàõmó@ø|`± +Dß,ÖI¡Å‰I‚ß +ß¾5@SŠUœ,Õ—xo—œv¥”4â’:K+¥åÇ‹uî ­X=›¸«!edÉjuè%ou3ÕhRŽm5­LÂ^L™¦À ärh¨ÌÝáS³úTÑn{|Mø=­`ÅôeàºÎºCßyàTljý¤pæYÍ@4ÀPMåZú'ç…€_kÕ&C–|h;1q݉)£zDjËr¼à)¢.žuI|êr­g’¤–üÀXi¿E׺:Ðö õ@©Î…Jv>à¤V£zu@í_nËJ=•P÷oïe¦8ò}kóÙü Àä_ endstream endobj 5 0 obj - 947 + 809 endobj 3 0 obj << @@ -41159,44 +41262,38 @@ 11 0 obj << /Length 12 0 R /Filter /FlateDecode - /Length1 7004 + /Length1 5532 >> stream -xœåX{xå¹ÿ¾yçÛÙÙÙK’ÝÜv“,›$l!È‚Üb© &b$â’M A’QÊ= ˆ(iE¬Tm Õ1b@­µžV¬Zh—xëóxz8>6Âä¼3‰½ÛþyÎóœù2ûÝ¿ï½þÞwB(!D$íˆïÎÕwÔ_ò<ô8!J;!Ü­w65øöæýè -!ŽË„Еõ«VÒþŸý„¸êq×îUµ*WNþýl?AˆýbÕÊ;"Y¿¹a&Î_űÉU8àðI§ qOÂ~ZÕê†æÅ/±Ø/Å~¸¶îÎ;¹GïÂþ¬Õw4×ókXöñ>â«¿ke}ãÓ—ØÇóØí„#•Z_ÉŽ!µñ†­üËÄô25³rŽ'9ý'åâàÅÁ\§êW~Õ_É“«ë þêGZ— õ‡»Lú#ò»ùYÈu:ÙΉ÷K¢Xi‹g¿y¯Ó¿'µ3iï¸g…µEæ<Œøǧز½v”˜7%&3C¹Ò7„BÊê(,œHr†´¡/?V†¾R ÕBGan8MɬËlNïËä[Òûh×ý|?ë7õ»ûcúcûâú<}^[9-§ù©)&·+&/4Ù©æ¹ýù2MM—?irž?Ò8œRó§Ó¼P ä|¾sÛŽ†u½Ç´cÓºkNþûл”Û¿uÍ=ÊòŠ§—þözãûM-u÷ì¡g®ý*ºnþœ3Ý>S²akdÅÛ—P˜„A=²Kì-”€ƒ<þ.‰0ã"”3™˜C唈‰Ù!…p‚Y ŠYñ•¬œM1›……œI®C9™¯3­AUì²M2 ¸›ÓNåâÏÕX] ScCQ — - -û<6$°Ïõ?Åü¹ÞŒ¡±NYÊ©t¥åá;±S»l·óé,Ý”nK—³Õ,‡©œ”S¿…æçQ,(¢<ðCž›æÒ´¡kó¶p®rîý×Þ?Þv­£í—È]ø:1Êj†ïFé<­7ªód-òAÞÍD%idv8˜$ÔYÜJ]ì -'©³lr(¢@ã’Ò±Éñ¼ìq¤Yˆêò”+ƒ¡nSÈš¡íÁÁŽ)C…¹h²®%$ÉhdQ$RMÍ÷‡bÜ.“DÝ.ÞO‡iÖO?ú´ö–vìàÁcš¶þìYîý>:úÀÙóÚ§ÚÛÑ#'0ºiÇζá®(#5Ïön}Ø÷Ò±ßý -é¦ä ´ÕØ$ƒÃ3SS¸4¿"Y¥¤d.ѧX­ÒBÉêóó1t3*sM0f³;â]ã¨Vdú$«?ÑkwsvbÎp i‰ÄÎeÆ;0¨ ¯Î2peð*ŽhCÈá¨Âäϱ9V¡v”,];’/+7kQV}V{+§ zKô8—,[ÐãZ²¬ôy’IÂHn&É離L) 7¤‹R†5Ö‘œáËðg¤L±ŠER‘µÈV(&%ùŠü…)SRKÄi¾µÄ6/¹ÄWâ/I)ˤ2k™­ÌWæ/K‰ZjÅZ©ÖZc«I®õÕúkSªS[ÅV©ÕÚjÛ ·&·úZý­)R º³z²8´•56‰Ž*$‡N ù“ÒÐ}bÕÔ tÔÕ’é¨bRÆ]ÇN¿pêôÚgÎÿúÇT¾RõUÇZõäÓ?ºñÕg_{Rû½öyëÈ<º„žx¤s{mCÁu3Î=~öWã³´swÞÝZÓ2µ`ÚóGß½µ¯§´7ùÕ&1™H*Ã…&›kï·OŸm—de¶ßVÆ'zs²+B)¢o‚× ‚…(¢ &Ÿ9#;D4ɱ\HWQ?º¡%teH×QèâÔþÁÐÅ~e(ôë J_Ÿê@e¦#{ã‚ #C1±TÍK¢±ªÎòä5OÕ;ÈuPÕù5 j*Nq§Ö®oº+²ê®êzmE-¨ëãç.÷GVž.[mÝÕôåùOߌTüb–¸fùÒædîA¡ºtQÔmuíìúQø±]Ýç¬Ôª]1OÌІ¶Y´©×?¹ïø+víTæò {Fa\A{Is8×áW‚‰§ÌTm¦ëˆEPyÂIÊÅþþ«ýº?…®ô«›†éñˆ<"Ú—¸­J2¬J"Ò7Veñͱ䈼nƒ’±f¤ot küù~•åòT¿›¦R^{“Öî¢|”ÿÍK[‡[ < d>!ÂírÉãá>¯ÓÆ›²‰ÊV¶d øã\Z&@GjŒ…xœâ4kJ¶Ïœm šŠc§y‚îlgqzv¦/˜–=.w¢reaâÊÀUô¥ :—ŽƒS‡BC±! ½-„®„ Kš塺н¡ž£: n…‰ ±Œ²væˆ9RNlNÜâÒ±oÄõ…,åÔˆn#Nä©Fxø‡D ·±”ä?ºæ±cÇ5®»¢®õînÍÝ¥ÕvÒ€öüzi3·“æÕÔhëµL ¦kçð÷=[Sƒö0òæ·b^à¢9áÙ6+'EU#QÅJ‘DàA0s…ça¡Hí’žýØL+´ŽXUÉ)‹*gq ‘iªÊHçV.ô_èGpª£…£0gèý[ÕŽÖƒö‰1€Á¢»Ûð7qy‰á=º%,3‚¯¾Â0·¾rÔ"‚8Yœ-.ƒ[ÕJ±Ö‹fÁb–À -6¯à5+VÅ–)dšsbŠ„"seŠX,Z‹­…¶b[±\l/VæXJ¤¥–2i™u™­UW‡Ê«³UÄ4 Mæf©ÙÚlÛ“êБ†ŽiĨ0þ¸é–´¼.ë)ÚHHOujÅ/D9÷IM͵cÜmø›}íb Þ~x#Ɖ²0ì·ºˆÝF¸ˆw%«¶­W]6k,i¬É,‹ -uH‰õLÑl#ãþÓÆŽ¨sÄ9Òë×·Ž¨©ª}¼ÛEMÕiôçS#L"@RS}Í»UŸQYûEœUÛÎÕ5^FÏwµ?´kï~- §~pî’öF,ÎõjÇVÓþ®¯w<±sgrâ_/Æwñ“¹á€Ÿ©n IÌqdÚkI‹\aîpÄ{¥|'—íVarSôÜ“Ç+ƒºúŒ$KÑ“¬ÂÜS›SQÓŽéä:Ü.-ˆMGqmÐOÒêɵöõUëc“µƒ´zGç¾}û£,½¶:²\ûÙð°öêòÈñ(mì{õƒË¿}ïg/è8§ã$b|ó’ü°—<»l­÷«{-P/·z‰ËkÊŒG/þÜøl¸ª¨ 䞪K@Ú¨Ž´$_!Œ¥{¬\Àò -}å/Ö±o=Á„éæ?{8äà_=ü_´Å¿£ÐŠ_òßíþ¦o6~-Äþ/oûøP‘¬CMô’×°¼‰­H”¬%ÛI7¶?"­Æø‹¼Y/8ò.Ó×¾Izi®ãôBsˆ®Ë³xNÎ}„ë+±ßMVóÃðºQÃëÜzÂÁ"l-2vt“^¸“†×G_c×kdyŽœÐÛìuÒ…ën"oc™‰§Ï'gÈ»t 9Fß"m¤“¬3Œ#‘Š˜›D±¬`oå r›q³>eo!¢v“(òyO?6:N3èMP•´9äè LÕ¢d+‰òX‚F™eð7Êǵâýcü’×¹Û¸ >HOà=ú¯ãù'È4¤·)‡/§ÓŸ‘n°"…ö"™/Ì7Y1ܶ‘%8Û -yô°)‘T6X‚'܈cäFú6Þ‚ðÿŒ‰ñ€¹q¶Oéá%‘žðwK}¯”ùÇgÿMק¾²¨Ç¶Á×;2²¨”ge=,¡æ>úþ·M¾?>{Á¢R_Ï«³g:»bŽ-.ŦÞÃaŸ=k<1P‡»½×%o9µÜ>õ¿Iò¨;½7üËÂoê?¾s­HyÇüÑ}3vû„ÕZ"!jâß¹Z¯¼36þçGáQbüyRÉ^¹ÂÞ'kY+y‚Å’§ðºÈ|$ü”ß¼‘Ïpl7K&×ñ­¸>÷m;g^6‰œ‚º~æ!ÍHR¡-NBÔ²bß–Žo/!r½þÿXƒ*…³,rÈ ^!èÂKô¿°Ï÷ríá÷^Öà‚Î÷§±ó8æûÓà%œ{1ÈÎEàÅ ô•Ã mpF‚Ó<÷¬‹=‚g]ЂÓà žÖà'œÒàdÏ\vrzæÂ5xª žÔà ~tÂÊ~ä‚Vx<?ŒÀcIp,ü ÂÑàø~—̾€îf‘uàèxX‡&À‘IìˆV؃‰pXÉìÂu‡d8æâƃ.8ØÎwÉÐæïÀïå²ì¿ÏÉöà¾}6vŸîë¥á°…ßw¯ÈöÙ`_/%áþ^îíã÷Öµ±½§aÏÝۣž0߉­Î"èØ}šuh°{W9Û}v·ó»vØ®rØæw"];°c»Êv$ÁŽÞ‘¾ð¿]…­xõÖ|/¶ÄÀ=]p·í‘k×`s­Â6{`S›Ì6… M†­v¶Ñ ­vhé‚ *4‹°¾ÉÇÖCSckòAc4আ$X§Á]¬­·±µÔÛ >Ì×µÁšÕÓÙšX=jk¬¬VÚv¾Æ -5a>ŠWF‡¡ºê4«Ö jU9«: UíüªÊ[U«Â|eV⢕ÉÀnX¡ÁT,ŸÀ*4X>n× \ƒÛÀ²6¸Uƒ²YPªÁ-,= 7k°87¹à»!Xô;[Ôß±Ã3Â3á æG $ÅÌJº`^æ‚Âæ:aŽfs"›íY×;Ù¬¸~¦Â®wÂÌ›©ÀŒ°…Í l°.ÇÅ|qLçdzé aÚT›¶¦^'²©.˜æ¯¡¨ÐÁŠÊ¡pŠÊ -0E…LÖ0Ís±| &å9Ù$ä…D–ç„ÐD ‰ÕÏD äæıÜY3ÁÍrâ §Ÿ$² n˜ÐηDØø.ÈÎr±ì…Ld¹ +Ìg"é™ÈHÏe3  KÏ… VA ÆAÀÇå–ê`i‹!·¥: 5̧˜Áï‹cþrð%«Ì¾>>/KV!¹O!)Ì'¦B‚âÓÀëÉeÞÅàÁS=¹§A,^«AŒn—‹¹kÀåt2— \aÞé®sœÅ«j `¥Ì;Òoïçd lx€-laÞª„)<¥D\#¶%fAef*˜Xˆ™Ú€á>ÂÏ\[€‡r"ÐÅ@4 ½4²µ“fýŸ}Èÿ6ÿôÁèð?*i+ +xœíW{tUš¿·¾{»ºª»««ú‘IèN§ÉûA‡ !”‡ ²á Ø„¤CL!ÈÈ0ò „‡(™™ :øØÈŽÅÕ¨ ¾wf„QGÝŽzNÌqfYFRÙ¯:83;sœ³ó×ì[·«ë~ß}}ßýÝ*B !2i'@|w4¬lzßþ`;*.",½£yƒoÉ£W q”BÕ4­iø¤ý÷1B”_à¨=kÖn®I;Z†õÇp̸ÚÕ+#y¿¹y!Îå¨_‹ +—Ïö ʇPάmØÐRc“PîE¹xmã+ )ǵœŸ¢<®aeK‹òBÔT”}Mw­nÚ¸Ùò%ʸ>¿¤Æèd5¼­IŠng¯Ë«ÔÊ«FŠbúÇõBÿ…þb·æׂ~Í_Ãȵõ0êÚÇF§¨|õ‡»,9æÿe{XzM¶êE£ü¶d¢ÚikòAë~·_`oúþ1îj{«"$sâ/Èpä§8I–§d$äæ¨Wc¸B(tEÐ\áðXR4pÅøòwêÀ—Z8¬…]áb=SÍmÌmÉîËe­Ù}´O胋ñ˜%æ%Äû’ú’ûRU´Š–2,^OBIh¼[+ñúKÈS:n|‰¿tÜl¥å´$”EŸïúÁÎ ë÷övÝSºêŸüÅÀ{T8¸ãÎ{ÔÕO-þð:ïrskã=ûèóC¿Š®Ÿ=ãù®Ÿ>=kóŽÈªwrrÞÇ`aóÈßç1.ò¸~+‰p "T°X¸KÔˆ…;ã*•¢U¤ªUTð¶Ù‡jµŠs‹Òˆq²k´¬’ASŠÃfq%·nõ¿i‰f@Ê®ô'†.ôc æ,¬UþybH䟛?Õú¹)‹qÕuaIÆél¥Uz‚“8©Sq:Y6϶d;²•|-Ïe©"UÔ/ÑÒŠCT~(ñÒcB¦104s»àyDðº|²m¨£­[HÎ}“åõƒ?ŒFéL£7júdúAß­D#™dºž•.6J^µ1q•›4J[]ª,Ò¤ôLNÊ(¦ø\™Ñ<þ zµ?t%db +]‹g»¿?„:u \ô‡Æ›YB“â•<ŠFjR(Á뱈éÔëa~:Hó~~ò§OîÇ» cÓ /—?úøÄÑΟïDŸúÙÑ­;wµ vF9©¶wÇC®¤WºÿãWq»Ÿ0Þf ±Kjô°ÅáÃü΂|§â&«óý¾ ¸zTZJQ~u(Cö¦¸A”ˆ*+`ñYsòƒ©@d‹’(„LÜÆ0-WúÕïàfA×Êbý¡ 1u ôësj_ŸæJDÇÊ)º“ +zHµ’tš¨¢nü­D3…@!ÍÒL-¢À&áôºMÍwEÖÜU×d¬ZËŽtþî¹b‘Õg–4Øw7yöÓ·#ÕoUÈw®XÜ2Zx@¬«œõͤž]@?ÖÞÝõ²Ú«Ö±9Æà†HFÙ8ùšÓøUdBÉ ã*ÿ÷l‹žÊL˜âu.Xå–:+]O$QcD°©b±k13O¡«1 ·cƒ ‘ÆitN¼¨ò_‰è8©Ø†û&j&.Ñ%ñÑ"©HfUØÇï3Ü7Ò‡èñ>þR¿ÆKƒ%šßK”oÓµ»)‹²ß¼²½°5Ž³nù-æËCüä}LS½@’9FµV÷:Ò*V;:W¾W…,šOJSSäÜ ÙÕ+×ÊÊ®˜f_é¿ffhÀÄ[±.‘€Øè 0Zü– „Òq.ä„Dk0Â#ÂJzŒ#ãÞûú«³[ÿ­é=رïÀ}£Ñ“‘tÂà ¿""äD¿y³îÅ7.}øÁG¯›ñþ ÷ÇRÜZ¤OwØ[DÕT9"É>T› D« ©ŒÁ\™:m& 8,«\´‘Ø5›[‘5AòŠQÜ™šÆI¦àU/\‰]»CŽt…µ‘_x$-eÈÚŸsCœFÈ 1„tàL@:8M¨™ ÉÌ…7ž//ñ^ÏWBOï5¦¾’…Oê뇺…åøŸ?t!Šï!„ÍC\§’¹ºßî!NÙ DRVó:Ç&Íã°'™&Z¬Š¬R—- ÷°IEªyÐÄOž/ŒaŽH±ÍgØfØgxfx9. ™çóz¨E¤¦þRßÖjiª¯ö3ªo%Ù{…ÆצгíîÞœ­£r¸ì£—ß7þ=Ûzîëüf÷áSûL|OF|÷^Ç÷MzÐÏ5Äwú!kÒ!…¶â[©¶v¸F¥ØJÝB¾WcY¤Ø„7—ÈšïÓÛ˜é &Žã溼Ï¿,Ä9ú:¸ûŸ¤u&¬¿ú*†°6Óºƒ{Ø‹°æzt¨!²ÂxcpÐx}Eäd”nì{ý£>¼ôÆ‹&®0Û†V¤RЗО/£R…rÂéTl² PœŠõ„hEÑZ.Y©€t"ˆå‚@çZÓg +¼)û]ÉB«w=˜&xY²Šœ«ÓžXàµC’áÄ8ÄÕþk&ÂÕ_Ÿ3ùGs}'ÔEUùã ZÍ3PTÌ3pi¢º„ÖÑÊD§WÍá9–\9×–¥d9Ǩ•t¡pŸÏo³Ì·,Ë‹mµ4"TójKDl&›h‹°¶² |ƒe³dâs³²Ñ¹QmÖšSšG5§f#Vù„ÿ‰ÔøÛFV)ò;Æš>7ÃX¿™~vŠæѼtÿ ÔÚXtGèvK¸l¯< o!nƒÂûõõß,º{™ê|³bò“› 7÷cŒ$ªgHåC¡Ü*YçÚ$&´ZŽK­Dd(àrhçD1ƒÁ° aÈ©±o#4ò>ð§Ý[‰olËÈÆþyP# U%¥=0¹Ø÷áØÐï£P3T#L«_ 5½4°øÚÑ—Œ‹$~z1ßrí„ óð™NTÔ(d¦ éJ öVz¿pNøÀ7ÆWì›ä{ÌŸ1 Çèqú–®ëå–×èkÖ}ç ßTþÖ% Ï%þ•…þ[þ×ãe<ÿÿú‹‹Êd=f¢—¼‰åm¬ÝL¢ø¦y/éÂúÇdK\ÿ³š5ïq³ïÛ¤—æ`?Á,´ˆ˜¹|ç)Á¶± Ê]dU¼}ÎÇË18/l"ÌÇÚüøˆ.Ò “ñ0>?rÇG½I¦çÈ)³ÎÏ“Nì·€¼ƒeÎ>›½4*ã™ŲŠ_Œ—/Èòøʦ.Ê/"Ów‘(úù<ÎÞ=¢§9tTC ­Dz +f¢v‰²j,YñR÷oÄAØ‚ë_÷—œ– 9,‹žÂuÌ5Îãü§È´·-‰·`ÚŸ‘.°£…Éü%2[œm±ã1ÖFaë(¡Ç,i¤š´Á"œaêö’yô\¥§-œ~‘äûÔ!8+Ò£ßZé{m‰¿ ÿ/DŸ*úzÈüÇf_ïððüJ6Š/éá©=´ö°`àòw5^.ÈŸ3¿Ò×óúôŠë³N¯®@ÝÂJ¬šªQ?½¢€ÄYG¸½÷—µÏ„V8Ëþ‹ŒÙÔ—þöùõ»C“Ôw­—P41BG€…¶ÁH#DKûúÝkMê»×õºì #ÆÎ’þêðU~™¬ã‰ä ¼ùhÔÍþ ë{°>™ídLŽŽ_89~K ñþyû+$¡.¤›J$ü¦ÛÑŒbB¤Cæ÷ük +É#GâÖ©ä¨É$ÌFÿeÖ+´ë—^5àœÎÆ2ùÙœÕY,^qÀË/eñ—#ðRôUÁ‹mð¼ ÎØà¹g=ü¹<ëÞ öél/ÖöN‚Ž=gx‡{vWñ=g`O;Û½+ÈwWÁníB»vaç½ß™;{‡ûôav¯;péø~1lO€{:á{6hDx»ÛÖª|[2lmSøÖ´)p÷'¿Û [œÐÚ ›5h‘aS³o„橼ÙSaÚë ¸Ë€uM¾Î€&4鬱 îl(çwÖCC9¬­·óµ*¬mgõv¨×Y—ŒB]í^g@íš*^{jÛÙšš _SktV„ÕØiõ D"p‡V°Ò€ê…¼Ú€…p»U,ŸËÚ`©K* Ò€2`ñ¸Í€…Xà[C0ÿ'Ÿß·8aÞ ú4¸Ù³#0+ÃÊguÂÌÜ*¿É 3\0]ùôd¨¸ÑÍ+êáÆi*¿Ñ Ón°ñi*Ü Küèèf²©PÎ +xù\˜RæáSæ@Ùd™—y Lg“e˜vñIUž¨ñ° &j0Áã ü|òðRÆ•¸ù8”„d^â†ÐX‰‡däg¬ÅEI¼¸Š +½¼( ŠúXaºÌ ½PØÎ +¤/è„ü<ÏŸyèDžòt–‹¦çF '»˜çÜÙhXv1dá#Ë€1“ èHâÁ*È ¸xæBà°€ :Ë°‚ß—ÄýUà­q_øúØh\l´£ÛYº é:K @ªFeBJr1OYÉ8kr1$ˆ‹& ‚×ãáÞzð¸ÝÜãÎÜnpa?×Ð0¼š*>ÔiàDû `›b€'p$Cgvl(Øô‰õ c¹ ¤XE[= j`á!niŽãx?U¬NŠ¯zt!h/ìØKóþÏ^ämÀß¼ýÿä, endstream endobj 12 0 obj - 5017 + 3866 endobj 13 0 obj << /Length 14 0 R /Filter /FlateDecode >> stream -xœ]‘Moà †ïü -»C•¯–®Š4u—ö¡eû)8ÒB¡‡üû\uÒÁÁïk°‹S÷Ü9¡x³î1Âh ¸Ì× Îx±NT5«ãm—W= ^$î×%âÔ¹qJAñA‡K +lžÌ|ÆÅ[0¬»ÀæëÔó¯þêýNè"”¢mÁàHv/ƒ&„"‹·¡s×-Éþ2>WPç}ÅWÒ³ÁÅÃà.(TY¶ Æ±èÌ¿³jϒ󨿇 ”¬(µ,)µcÞe®™kâ}“™‚Põ.3¡ÎoR¾4ìcˆû̈™“Ï‘}ŽIËþMò—Ì23×’©–äZ2Õ:°–BzÔíöéyi÷¾ékÔ²<¬Ü«Ô%ëð>O?û¤Êß/5ØÙ +xœ]‘ËnÄ E÷|…—Óň¼š¨ŠTM7Yô¡¦ýL©!ˆEþ¾<¢©Ôø`ß‹Œ¡—áe0Úýp‹уÒF:\—Í „+NÚ²©…?Ni3·„ó¸¯çÁ¨…0ô3Wïv8=ËåŠ軓贙àô}sjܬýÁ‡‚ô=HTáºWnßøŒ@“ù<ÈP×~?ÛŸâk·U:—¹%±H\-踙°¢è)Õ4ò_­<,W%nÜÖ–AZ!ÖdnW™«ÀU“8Âꬩ£¦Íù6柇4Ù[Go›¹ÜeM5ÏÌS“G7±Ý8×ûÄæ\A~z{|µ6xÿ»ØèJë4j† endstream endobj 14 0 obj - 298 + 276 endobj 15 0 obj << /Type /FontDescriptor - /FontName /WPSFNG+DejaVuSerif + /FontName /NRETEM+DejaVuSerif /FontFamily (DejaVu Serif) /Flags 32 /FontBBox [ -769 -346 2105 1109 ] @@ -41212,12 +41309,12 @@ 7 0 obj << /Type /Font /Subtype /TrueType - /BaseFont /WPSFNG+DejaVuSerif + /BaseFont /NRETEM+DejaVuSerif /FirstChar 32 - /LastChar 121 + /LastChar 122 /FontDescriptor 15 0 R /Encoding /WinAnsiEncoding - /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 685.058594 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 640.136719 560.058594 640.136719 0 0 0 0 0 0 0 0 948.242188 0 0 0 0 0 0 0 644.042969 0 0 563.964844 564.941406 ] + /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 640.136719 0 640.136719 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 564.941406 526.855469 ] /ToUnicode 13 0 R >> endobj @@ -41229,7 +41326,7 @@ /Filter /FlateDecode >> stream -xœU‘Qkƒ0…ßó+ÎË@_Ô¤ÖmEúP…2Æ@ìž:öb°Â0’ıþû%±v,÷é~œ›snB‘Z`›š„>bS<“²Dú~$Ò†÷ÒéëÐ|€!C‹Ï€*5”ì÷a¢Ñª›…Ôˆ´MèS’#ºX;™]šÚk>]a¥û8^®Ñ’ÛA5·Q½ccuÎ9^ïÿK„çêG®¥àCð&»ÔKš¹ÚÒ,/îyGëäù]ÔjžP–¾ñýâèŠNŽj>šÉ{‰ëŠ_`õ,×®rªZ~B¶Çƒ‡.³ç­4jÖBlîž'7(ìݸø·^Å-ÿRým;÷ø·åœè|Sn +xœU‘Ak„0…ïùïRЋumw‘=¬ÂRJAÜžZz1¸b$‰¥ûï›èjiæ4oòÞ$ ¡v IAó‚ÐGdÅž”%â·Û(7¬†ˆ_dgð Z|ΨRÓ`AÉñ8O4ZugR+Ј>E9‚«µ£9ÄñL{ÍÆ«ä&RºÃå-˜•j¨™êCš¤iB]Íç=\ïÿK„çêG¦…àCÍàUt’ÔKš¸ÊŠè¾ØòÖÉ òMÖjQ–¾ñýâ1Ó]Õl0£÷â·?ÃêI¬]åTµø–\´ç“‡.³ç­0jÒ\d›çÅ r»D7îþ­W1˾TßÎ=þ}9'ú‚Ÿn endstream endobj 18 0 obj @@ -41245,12 +41342,19 @@ /Info 16 0 R >> stream -xœc``øÿŸ‰‹D01²¨2002ð ~%}Ä$XE€„ìKHH*+ !µDœ‚˜Â"˜å bò Êøê +xœc``øÿŸ‰‹D012Ïf``dàÌ­ 1 Kl`±Xº€„Äjk>‘†@Bt +ˆX 1…D03JŤÜú¨Ú endstream endobj startxref -8072 +6727 %%EOF +pdf2svg code_examples/selections/submod_00.pdf code_examples/selections/submod_00.svg +pdf2svg code_examples/selections/sumprod_02.pdf code_examples/selections/sumprod_02.svg +pdf2svg code_examples/selections/sumprod_00.pdf code_examples/selections/sumprod_00.svg +pdf2svg code_examples/selections/memdemo_04.pdf code_examples/selections/memdemo_04.svg +pdf2svg code_examples/selections/sumprod_03.pdf code_examples/selections/sumprod_03.svg +pdf2svg code_examples/selections/submod_01.pdf code_examples/selections/submod_01.svg %PDF-1.7 %µí®û 4 0 obj @@ -41258,12 +41362,15 @@ /Filter /FlateDecode >> stream -xœ­VMoÛ0 ½ëWð°CrˆJRßÇØa[.CÑCѦ-Šf@»Ã°ýúQ²d'èÒ°Q¤á‹)ê‘â“I€ò·!ùG‰áv¯^j“˜\Ô.ZCVŒÄÉ÷ÏpqƒððKüV—òyRW×âp§á $ „F' °Ï &ÖÀ3„¨)Úüå9§#ù†,Ž~b×jô#²Å±iðQm÷ý=ò¯Ðm•,DD¶¶f>@Ï‘5%‰`»W÷ÜØÞ«ÕÍzû¤>mÏ”‡ J)y°^w³8‘Éå‘øÿsú8™“7hÙ§˜Ïsyå“8Áª›ÆJD?°*E›ÉÊ'pé««Õ‡õ&¬h}½½TÔ]À$Ë5²âr×\vk³z)ngs`Ä–C6È1‚§zðǤʊ$R-,9-¹DsÒàF'%ˆapVcáö,ë3§II[ôàHW¶–¦ëALÚ³œMÐ’VQí÷N°¦6˜J›¢Ñ>‹^(³åÜy¢œÙjïc¥Ü@™Ù@¤â2P>tïT^@è–U{Îr¶Ü‰ã ¬Þž/x²f¾â©ôD%†nÍÛ÷EÏçEÿs7]ñ-‡b/CÖ<^Lô"r~”OÑ“ï; `2:Æ0_@Y$”÷Ç¡)ˆÈ÷ªz! -Úت¡7+Žt/·•xŒ×•ŒÄA4ï wËòm$šñ‹p÷(Û9“÷zƒ·ë)ã|ó4îÇ+:eòƒuøÈÀù:ĘHmÀR…ê­¬¡œ­?*DÃ}%Æ­ç•Â½'À¿“*ÁÒuÊbiûb²\™ìª-·F Ìm_v óVR´:ЕßB)Íð¸S™V{d^½]‰UP™õh jÄ®rk/Ÿò«á]ïÑ©¯ê&ö}þ +xœ­XMo#7 ½ëWèÐÃø`­HQ_Ç( +,ÐC_Š`ÙM²Á">4Á¢ýù%9ÒŒ³‰ãYŒØ'ç‘â#)ƒõü·þBÊöËÁüc¼ !fë],€xQ± ýëwûáÆÛ¯Ïì¿ÆÛüùf®?±†··¼ýÃV²)»Êû²ŽÁy +öÑæâ %t‘¥]Ô%ò³¯ÇýfVã½q6Ѥsüª«÷p?}µ»½rÞ{$jNOb‰T6Píþ`>ÜoýÖ[°û{3ÜlößÌoû3Àú>²%þ¶”ìÓÝ*PÄM@ïÒÛ°~] ÅÔÁŠÒ¸~\ Í…SÀvË€ ˜30ÝJ`9hÌè´ëá—Í6°ù´ÿh¶YŸ! [p¼ V¹í*7›<ÜÞªÞYOÐ×É]_ÀŽHÀS)ù÷¢&©pÄA†êÓ( +ÆR$êàJ¬šg!òsŽJ±_΢?sºŽjb{ž9+o—<„¤Q$ ¢¼[¨üJ§%†wñÓEXU¥¨¬¥”Ô‹ÐS· «IÅ6¸¶­e[)é›F*õ}Za§U2Õ·hõ¼Ù"ß?/%V™… 8ƒš…Ü8.É-¯65OÆ"Y¸¶±<§nDWp ¯„ ÙÓêuæhuyTc‘ûtLËØ_©ßŸË•ö¯”˜•”S›.¿èó½¶ÞEòGªäÍdeÖ$8nö]|xùÊuíž=yüŸæø[ðÙ¥ÒeIˆü–À=2 +ú¬Çœ/R\=¶G®äØ«kã†V×4rÌש¼¾Ü±{_)ÑÀ‹+9ÙÂŽ#öÀB +-Í_ò<³EΡڰåØò‹³°mp¬>å× õ%ù»Æþ˜¿”½+Ò«Cl5=‘PéÉe $Œ%îǾ–Y–DNhŽ·Œ&³G›hì}“Ñ&?¼eMr³²«øôôp=ªÃ2ÓG=„õ Ñ¥˜l-®æб¶)Oâ!MWää;æ6ptËüÛ¿«­CÍsdIî©‹¬bÒ¸‘4¨Y}Ú";kìÌŸæ}žÑö endstream endobj 5 0 obj - 727 + 1083 endobj 3 0 obj << @@ -41292,49 +41399,59 @@ 11 0 obj << /Length 12 0 R /Filter /FlateDecode - /Length1 5752 + /Length1 7576 >> stream -xœíX{xU–¿·NݪêêWU?Òy§›¤ÉûA7‚ - ÈC$˜1° ¡&„ dtPÈcÂSÉh|EGݨAtÆAvGœýÄ ã°_ȧ³ŒŸÆ¤²§ºqvÖùœïÛ¿vÿØ{»ºî¹ÏsÎýÝ߹݄BdÒB€xï]µ¤þ²é±6BÌ/ÂÝuoÃZo[ð™„ØBè¾êúå«>où2Bˆ½GíX¾rCõ_^(]ŠågqŒT³lI(÷w·O!D)º15Xáðš»Q®A9£fÕÚÆÉ[ùPnEyôʺ{—2ýS”{P·jIc=_Ë‚(²·þþeõë6_¢ÆÂî!©ÖÛùjÖ‰ÚŠ$Q³ðïá*±JŽ'…‘ }£ˆr¡ïB_‘Sõ©~Ÿê«æÉàH¼ª·‹¶¯ÿ|¿mÌ1ü~_†Vg‘MZa’Ïœ@ mJØ+µ9}»Òw¦¶luVYšl\#¾üÖ¼D;É4³Äq9ÙÊ®ô*ýª£¤d)ìïÕû¿ú£ÒÿU¿ZR¢–8JŠ´ %§.§1«'‡oÊê¡=\Dø‹w$.âé‰ïIèI´VÒJZœ>Bp»â‚1N5èöÛhúˆ‘Å£Ç}Å£Gb›˜^<‘qPx}ÛÃ[×®ÙÙÝ©wNè¨}ñ_ú?¦ÜÞ-÷=¨,®z¥â÷ŸÓ9WšêÜEO ý6¼fæ´S?uƆ-¡¥—²³/£3 7ŒûÈ.³‹èyN»ƒ„˜À¸å9TN Ì­R'J"U$цÙÂYIgs‚­ý$_',•AUì6«Yq%V§ œÊ…ߨÃ!¥½}žÀ…>tĬò¢Â®{"»n|éº!‹Ñª›ÂÂ/e9(­ÔâìÄNí6»ÏbYB–5Ë–§æ:„JRI}&Z¤˜ÑEAðAÐMqzÿÐô‡8×Óœ{ïЕcÍC­Í\ -wöÛ”0«øi8L§ëÝaÃv «ÑöÚ.•d©ZfªXgr+už¥NRgÚäPd‘Ƨf0bµ%ñ6_œ#ÃDT—ϯÜè ô L¡iÑÝîë `Ò_Rä÷Æ»„*E ¹•TÓ‹}8·KS©ÛÅûèÍ}ùØÏ_Ñ/êû÷wêúú7Þà®|võèÁ7ÎèÒ/…ÿÅáð¦­ÛšÚÌԾֽåqGüÛÿöÛ¨ÞÏë𫈕Œ"ÕZ‰`uä}ö‚ü<»ÍI–åù¼~qYRJba^U`„ì-Ht‚h"ŠlÁ+eçù“È‚Íà ÜFp[zû”~ݯôãaAÓJ#} ¥?ðáY¥§Guxа‰ÍÉZç¡j0•zÔ¬3V ª†^@3UÃ@ATÓ±‰{iõú†ûCËï_Q¯/]Éhÿã‰O"¡e'®²loøêÌŸ>U½_&ß·¸¢1;,®X07ìšN]ÛZ^ÕžÚÞñ–…ZôÒ¨l}`íÃ&½ôÖçv{×®ÿ™Ú8dBÉ:ãûÏl£–Ì0ÄëlxÊ„]CL¢Êά\ˆD#Æ>nDT<ŽQ òˆ4‘FguÉó¼NÌDÃIÍÄ<Ü3N;n¡fò/-4Ê|%ö1Gû ÷Äú-ÚÇWìSY±?¨úÜ4òútåvʇùß½ýPß@Sg1n©@nq‘$2GKå$w‘²6K|9ìhµT%K&w"I¢‰öœd\­ß1ØקèÈ){8¼)ZÊæ8OÎÓóÜy÷ù$fp…/FcƒIâÑ$ b5X‚¯üü‰Ç7NÛ—wýô5ý*ûìKÊ]voݼGáˆtéòææºhP¤£õK/žxý×nê«Wð@}ãH:)×rÓE§Á>™4¹m‚Ä}J«\ºŽdðy§éæòHqJ¢5'•¿Ñ,-íEõûúÐÄB«ß8(E/oöwù9T:ÊjAÕP™+í@*ó££ä³§˜v ýûócžÚùæë3ŸCkô{[wíÞ½«u/œú–ÕÖÒ«¡ÅtìÀ³8t¬Vo}óןþþ“Ï~e B‡¯áÙ¾ ϶‹jS­ÎRTE™d_ŠYD‰3)<³ej7 f–:h±¨f§MV9“[äˆÍ™¡ªŒdpnåBod°7‚üî(QcŸ’¤J‘ÙÔ¿åµ(‰ÅˆÌ@*³Ç!•½Dâ¨#“#wkn⾉µ(¾fuYæ/šÕ圿ÈèEšÛèCcH”ÇÈSåEp—Z-7ÂzYM’,`M%Å¢XsÄ©0n¼8^k'O2—X&YJ¬“¬“l“ì“”i¦æ -ÓBó"Ë"ë -s¥ÎRc­³VÅ5ˆ R£¹ÑÒhm‹ËF^5v†"a¹ÓM±—±]ô¡7õ`+—û<]G×=M_Ú©Oz3Ì%pŸ×ÖurwãwÞÐ…0*¼ƒ~žÉd2[óY\Än%k¹Pâ2¶Âº^uY-"S Ùd…:Ì)È?*FŒFͯúõ~(Þä&J&i¶ÙÄsMÂS1q2ä39_´0¼£³"1lÐÖõˆùÎC±;Îz„‡- -æ<^^ÁU›¸Ê`1r|º_ xÌã }†ê¡jnJmTwÓôŠÁƒ§õ‹QàÝÀ¸¹[ÏÍÁw*Q°ÆF6“aZN— ³7Ñ=ÜYîïHo‘w¼÷Y߈áaãNM:è“œ"Ó‡H'½HšÉN²& -½*ã] Œy)»Í_»£+uavoÓ$ŒvžÂÙ;cõ4›Îƒ*¨¦ ÐBŽ‡éX»…„ù*Ì™Ñ\µ/fÇmÄõoÚKÎqwsÙ|&=ŽëkœÃù“ ¨o5j:ÎЮ‘0—ÀN“™âLÁ‚á­™ÌÇÖ¤‡„REša>Î0ëv’9ô®‚WÉWÆþÊÊó*]œF¨K»c÷Ý…¾ü¼ï‰^Eôv‘¹]Ö Þîáá¹ ø$¶°‹%w_êâýéW~¨ñJ~Þ¬¹ ¼]¿šZvsÖ©UeXW¾‹†„ÕX?µ,?vʸ{º='¶¼ºØ^ú’;†ŸükÉwïo>¯|$}JŒ3ÊÝ<—ø-®ÒSð·|Ê7 Ö+ýõ¼~—¬ KS!­…O•!UãSÒ!ÙI˜PÄË!gM(‚x<¸¨G‡8Ü.sׂËéd.¸4ÞéösœÝ«ê àK™vÔßÞ6l³é`Å ¬ñ`Õx‹fÌÚ¸Z±Ü ¦H¢Ê$ˆ*,À„f`8Žð磂%ÀIñÊGËè@»ihËNšû6‘ÿmþaÂ(ðŸ§v! +xœåXy|Už¯~õú¨¾ªº»ºÓ’t§š$:gÜ(¢0˜ 1›:€„+!Eîä025¢¢B`F7 +Bdê83ãàìx਻1Ÿ™]Ö“Êþª:s¸óçîç³õººÞUïýÎïï÷ŠPBˆ@ Àý‹ï[z%ï‡ç q¶ÂÍ»em`GÁ בqÝS±táâÏÿÔEˆgvl[X½¦âß…sõX‘ézå‚ûb9¿½u!Þö «ÄgÀrÛÅØΨ\\»ºÌiŒíµØ¾µºæþûyòl¿…íY‹ï[½”_Æ +IJÂv`é –®Xcø +Û#a÷ŽT¨-|kCjįXù7‰áMjb¥Oòº.u!â¥îKÝù.)(e¥`On,‡äŸª-FûõÿxÀ¥­Ñ÷ ¿Ÿˆ\"*yÉA‹ˆVZçÛmÚá +n5§îØä*·ÖÙ9#ÁÜt[Äï a ó§{²³Äk]¸C4zUì‘œEECH^ÏUµç«?ˆ=_õHEER‘³(_ɳk²WêÌæëuÒN®ºø.Öeè’»<]ÞΤN_§ßVJKia(Ý »=Ña.©@Úi(}`áÐaÁ¡qÌ*K ¢ÈûrËÆ͵˛;ÚÔ¶1­UÇÑó>åvoXò°XVþòÜß}Fg~´²®æáíôÔÍ_Å—OŸ|ªõ™W¦­Ù›9+ë + +“p}¨Gv…½‡p’—”;HŒ£œÁÀœ'Æ Ì¡w‰„3šŒT4íx[¬œM4™Œ·q{ ÊÉ|a¾’è°Û,&#¾@‰Íe—xég’WÈè«ÝÞè¥nÄŒÙÅF‘}éÙ—ÚO4}©µzW£$ýÄ '¥¥ŠÇAÔaw8øAlam="å8 ¥¤”Í´°€bA@ +dºŸËP{nN]ϹŸãäÝ7?:Üp³©¡KáÎÿ%%Ϊz‹ÇéTµ#®ñdòCÞMD"d’N5Ö˜e±Æ;ßEjÌ:EÁH“R3±Ù“y{ÐãÌ0É̯uG¯F5›BÖtmwwG±Oì)ÊÏ F‡iZB’ôJE"¥Pa0ê‘Ýc*•Ý|öÒœ~æeõ=µmïÞ6U]uú4÷ÑÇŸÚwúœú¹z9~àÈóOÄܼ¥¡·%ÎHÕ«:“~Òö¯¿Òé>ª^äÜÄ@ld©PŠ 6÷@>èœqØ]dA$È4.HNñçEÊ£éB`°ßF3;¦¬Hæ ‚Áî墚Ýv¡Z®v‹="Š_ìAgAÖFwuG/u‰=Ñ_Ÿ;;%§K‘0Ø‘—J©Ô+ ƾaÃ¥Ik„Ó°¤1h0J!âN,[µòØÂ-UçWó·üᵺb N–,¶n]ùÕ¹Ï/ÆÊ>QXR6wu÷„qQñ¬xðæTêÞÒôSåÙ­­g­Ôª^3 ÉR{k7šÕÑ·¼´óð[õ?¨+Р‡ô¢0®±?¢Ï®Vðš™Úëm`à)3,2ÑåÄl”xÂYÄK]]7º4=E¯uI莺 òhiøømM¾}_ ÌCÿpÓ¬A‘¨=ò|Õ£öˆhæh)–ÉÂdËdëd÷d™¡àB’7xÙM FªÑ,¤º[£±PÃÒª÷+¿ võçIVuW³âÆz®¥ñ‡[wà—Q¡hôÇg¯¨¼8Ö¡¶-¦]-Ùº÷ÈvÍ—÷}'0vf‘÷•ñh‰Á±VKj—k±Zn³XAÞCŸ$­lGØó¤Üêßál +—g,Ö`ŠÉ›+§Œu˜Âòä .×A&eë±ôjÂÜ1–j<‰Wot_ëÖƒ©·ßFì_bÕ¨ÿ£qr´XQN ÂÁpz84O˜g™gg›—6/0/8/½8´1mc`cpcúÆPKZK %Ø’Þ:œv8p8x8ýp(EÉY—sÌ}L>æ9æ=–tÌwÆ}F>ã9ã=“tÆgG/DÀK@zÕaOs61/áhiTG> ÔËã|óÖµ—íÛ°¥þׯÏyíþ8¿yEíªy›víÝðÙ; »F]_µ²´dòÜ!¹‘G*vŽd¾°öÎ;o¹#;7¯¹fïK¢cÛpÄŒ+|˜øÈ +%Ý+¬67·Áœd«særžD¼, :ÒÃÖvöÑS?¢ŸèØ7 +ùèèǾ)JfIˆ}©{LI{ì´ûìå¦&g²ßRèâ"²„çkЇ©FÔ¿ƒ¾ëB¨èá:=š);e7‡†‘Rš /|ÝÇé" ò®_ïBÈS÷ÒE»›šwîlFÈcJüæâX™úÓÞ^õí²Øá8]ÑùöÇüîßžÑä>cÊE´å$ò˜’n2»%Ž:‰0O“Í\'°&.—ˆ§)lØó}⵫˜  ÷iÑ¥§'ªž?Äž×cŒ2B$"9D^d¢,zD¯˜”çWˆBN…WØDYñ(^%IÆ­9mr€8C)ýF/>]/>,ýz‘úMNWžYȉdðbœÍœ±yç{7ß=ÜN+‡©Ë½ŸÏR¿º}æ©vÕÃœE¯×TÙ¿ŽGs‘G7I&3•TÎ$×Ó>¶Ãš´ƒ<ál²–0™e?I¦~GöMˆ/?Kxa·¨bŽ«e³Î@Š’².. ôwA¾Ì4²ƒ‰ 3¼@³R8”D‰Q§ØÈϽñÙS×<‘¶'òå_¨ŸRÏÇ¢Ü%ÃÎÍëv‰1]¾²®áX;-PoСêå㯽~úÕ„/ŒB¢ïD2EqêLËxRÇa  !ÂòÔAô†&£7ºóOì°ÐoÅ'èâ°$Ä—ùÔhÛÑ›_G;‰Ç5›Ðöyåò)ân +t-ý@&Z¿²u˜$/ {ÖÉ1ÿç¢oÌ!sbÊ’)YÄÁýc »¦›³ú·@&~d¢Žd–@N~άœ¥99LãÅ9Gi3ÚÝz\ËÖ}9›d©Ô²,YÖ,[VZV +˜•>Â\$Œ´Œ´Ž´Ù‹RG¦ Œ ¥M¦Y¦[§Ù¦¦M L NK/J,%Ö[I $X’7W Õ–jk•­*­:P¬N_ªê-õÖzÛ{}Z} >XŸ¾&4¼5§=‡ÓPQòþ5*f$R¿FE>˜>ðôrvò̉“Ë^9÷ëcÔ~­òzÓ2éøËŸYûö«ï¼¤þ›úe}ßT:‡yºySuíðQãÏ>úW¹9êÙƒÍÕWÕ>æõCïMØÃÔÓ:ÔS6ÆÇsÊ‚4W­swAš#«–,¸9Ês©æ”P–@Bƒß`u ÄÉå$Ni_‡ÊoÕq^ ˜˜»»tGú6MG`‘¾AýZø ¾Co®¸|ŽèxGýL½¾â÷K– +Gî;þJ{YôرG7mz̶”µD¿þܦ—þË/üò÷ÑšþèžãûÛ_®Ø¹ký¶ÆXc¼ý0Ÿ<¯Œø]6ÞCÀ˜"n€p]ÖÌ`’ÛÂŒuƒ¡)ä1ŸKcMLkØ0Î;Æ–#®qƒ"ÙpFd`þa@¦®ŠXÐè´s×èžh7ªqEójYÁ¸hY´&úh´=ʾu•!º« ÁÒŸ,¹ò„…L³ßEî2–Ø‹å +Ra¬´WÊkìkä d›Ý-P3g³Íà`>æ7$û©ŸóƒÏâ³úm²ÃçóùåäA_.™–Lë [Ø>Ð1P(eù²üáä"nWã`*™F§Ø';¦$Ï£%\ ”pCÛ]ö8æzæúæúç&Çiœ‹ÃBc%&¹•¶…öŽŠäÕd-]Ë­…µ†5¶UöZG­X+ÕºWË+=+|+ü+’7’-Æ&K“u‹m‹}“c“{£¼Ñ·Ñ¿1ùní°îêφuë°$ý¹17ç÷;è¾fõzë6UÞôÙç[Õêfš©¾¾ž^YÇm¡UUê*u8ÝǪgñ=]U•@ Ú—*+á¹™øL%"öØÉ:ÒGgÓûèjú ÝÅç> äF^ ¦÷õißH+½“–ãxCÿ¸ Ç‹¾ÿþ‹âÐýô=ˆ¥µ¿œÇò}ë;óøï]Rùý‡ü³Ëñºñï(´à¿öeà»—HßÔ­Gµ¯{Úåü§{ý¿¼¨@–£&:È;X.bíV'ËÈ&ÒŠõOI½ÞÿoÒ +ö¼Ï´¹IÍÂyœVhÑty×)À±Oq~¶[É|}¼ÞÕË~x—[E8˜…µYú­¤FáúÝÄ­¿õC^#G´:{—´à¼;Ée,põéäyŸ®'mô=Ò@šÉrÝôR¨€çö8–ùì=½ü‘Ü£ï¬õÅÙ{˜Mµ’8òy +WoKôÓ,z'”C-F9za"N68_Ž%¬—‰: 8®÷ïç—¼ËÝÃeñaz÷Ñöx×?BÆ ½HéT¼9~ø‚´‚)ô±7Ètãtƒ¢ dŽÖCÝoH!å¤æà +3±¯™Ì¤—qLU_10—#±ËœkWî(¼UÌüM3 ídV»mM £¯oV1ŸÌJÚÙ€vÈ4µó™¡¾oð£ÜÈŒYÅö·'Mì_uRùDì›]ŒU­…ÝØ?ib.ÑQ‡»·ãO-+O•9FÿI3évóaï/‹¾~þù77GŠ¿1}ˆMÍFh°Ða«)„H)þÍ¥âoúû¿½\|S…ó.8וÁÎÅàœÂweÀOlpö0;ƒ7ÂÐY +gà”NZàµWÝìµ(¼ê†Ž(ü‹ +¯¨ð² +?Rá„ +ÇÛ§°ã½Ð>Ž©p´^RáE;¼pÄÊ^pÃ+<…çbðl*´Eáé§bìižŠÁ“-vöd&´®Xk&šEøá`8°9•Pá‰ý"{"ö‹°ïq;Û— ã¼Çíð¸ÂïÅ÷ºao#ßb‡…,ö<’Ïö¨°{—‹í΄];ml— vuPE1ó;ØNìì D™Æ?*À£üŽš¶ã$lȶK°]á›±Ö<š¶dM*lÛZʶ„müÖ-™lk)lUø-H×–LؼIb›SasG_§ÒÇo’`n½!äÃz<ÜY 1c*¬«Ù:<Ø`gF¡Ákël­ êP×k$X-Àª•¶ªV®ÀV`ŨŗjSa¹ +¨°l©-Sa© –*|M,Y<–-©‚Åc¡ºÊʪE¨n䫬P¥ðqÜ2Þ ‹*O²E*T.,e•'¡²‘_X‘É–ÂB…¯È„8iA/Äbp¿ óU¸O…ò²Á¬\…²Áp¯ +¥*Ü3în€y*”L„bîRaîIø +³cp§îˆÂ¬ÛlVÜ㕠p«¦Ç`Zº‰Mk©Q˜"›â‚ÉN˜Ä l’&Þâb«à– "»ÅÆ[ØÆ+f6ÞŠMŽ³ùq-0–Ïecoƒ1£ÝlÌ =J`£Ý0ZáG 0²ÈÉF–Bщ9a„Ãm0L…¡nV¨ÂÐꆂ¨À +\bfQ¢ ý 1C~^ËŸyƒe–—yüàT –ap#ŸkŽ±Üˆä¸Ydä 9nÈQøl$=;YƒòYÖx„„ ʇ0>Â*  ™¶$–Y +!'˘ !|-䄧› HbÁR¤I,N> 7K“ ­‘O UáSB0ÀÉà÷å3ÿlð᪾|HRÁ‹›zUðˆ »ÝL®·ËÅÜnp+¼ËNœç< ŠWRAć8H¿£ì8fWÁ† Ø’À¦ðV,Ø°(#ª@À9B˜c`2JÌä£e†`ø‹‹ñ¹€‹rÐÙ@T 4¶¡™æüŸ½Èÿ6ÿã…Qã¿5f#¶ endstream endobj 12 0 obj - 4061 + 5439 endobj 13 0 obj << /Length 14 0 R /Filter /FlateDecode >> stream -xœ]QËnÄ ¼ó>n«¼UB‘ªí%‡>Ô´À‚“"5rÈß×Àj+õÛ3–²Ëð<í!{w«Ñär¸­»“WœµaE JKËâ-aYFâñØ<.ƒ™VÆ9dÔܼ;àô¤Ö+>0ÈÞœB§Í §¯Ë˜Jãní.h<ä¬ïAáDã^„} BÅçAQ_ûãL²?Æçaʘi%¹*ܬ脙‘ñ<ïOSÏШ=zJ”\'ù-ãmAÔ<§Àxpq™pI¸¬#¦Àx•8Uà´MÒ6„»TïB½yŒ˜ñÓœ*Ìi1ñ1𧠜N$,â·ÍÂêÁã»'rwŽìˆ}hƒ÷¿²« ªx~(P‰8 +xœ]‘»nÃ0 Ew}ÇtüŠ• éâ¡ÔíØ +¨eAvÿ})1H6È{ ‰ÌÎísëì +Ù{˜u‡+ŒÖ™€Ë| aÀ‹u¢(ÁX½ÞN鯧ދŒÌݶ¬8µnœ…R}PqYû'3ø { ƒuØ};NuWïpB·B.š ŽÔî¥÷¯ý„%ó¾5T·ë¶'ÛŸâsóe:|%=\|¯1ôî‚BåyjÎü«'¶ £þîƒP² ižSêÀ|H\2—Äå!1¡*ÖTQ#9/c¾~LL4ì­¢÷X%¦@\3×ÑË™4ÌÇÈ’52i4³Žý¹OûTÌUdiXcbŸ÷9¥‡ß^GwuŸ­¾†@cM M󌓴ï;÷³®ôýBw— endstream endobj 14 0 obj - 282 + 308 endobj 15 0 obj << /Type /FontDescriptor - /FontName /VNSMZG+DejaVuSerif + /FontName /EINORQ+DejaVuSerif /FontFamily (DejaVu Serif) /Flags 32 /FontBBox [ -769 -346 2105 1109 ] @@ -41350,12 +41467,12 @@ 7 0 obj << /Type /Font /Subtype /TrueType - /BaseFont /VNSMZG+DejaVuSerif + /BaseFont /EINORQ+DejaVuSerif /FirstChar 32 - /LastChar 122 + /LastChar 120 /FontDescriptor 15 0 R /Encoding /WinAnsiEncoding - /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 0 0 0 591.796875 0 0 0 0 0 0 0 0 644.042969 0 0 640.136719 0 0 0 0 0 0 0 564.941406 526.855469 ] + /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 685.058594 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 640.136719 0 640.136719 591.796875 0 0 0 0 0 0 319.824219 948.242188 0 0 0 0 478.027344 513.183594 0 644.042969 0 0 563.964844 ] /ToUnicode 13 0 R >> endobj @@ -41367,10 +41484,8 @@ /Filter /FlateDecode >> stream -xœU‘Ak„0…ïþŠw)èEMtmw‘=¬ÂRJAÜžZz1¸b$‰¥ûï›èjiæ4oòÞ$i@ -ìÒ€‚äE@‘û ,‘¼ÝF¤a½0€äEv HÑâsF•š óD£U7q¡r&µ‰ÉSœ#¼Z;šC’Ì´×l¼Jnb¥û(Z®Ñ‚Y©†šY°>ДҔ¸šÏ{´Þÿ—ÎÕ6L Á‡šÁ«è$;©—4u•í -=ÝòÖÉ òMÖjQ–¾ñýâ1Ó]Õl0£÷â·?ÃêI¬]åTµø–\´ç“‡.³ç­0jÒ\d›çÅ r»D7îþ­W1˾TßÎ=þ}9'ú‚n - +xœU‘Qkƒ0…ßó+ÎË@_Ô¤ÖnEúP…2Æ@Ú=mì!Ä`…a$‰cý÷Kbu,÷é~œ›snB‘Z`›š„î°)žHY"}»iÃ;i€ô¥o >ÀáŒÏ€*5 ”a¢Ñª„ÔˆïµMèc’#ºZ;š}šÚi>^{a¥»8ž¯Ñ’Û^ 5·Q½gcuÎ{¼Üÿ—ÎÕ6\KÁ‡ +àU¶=?ª—4sµ¥,ß­yëäùª?i5(Kßø~ötAG5Ìè½ÄmÁÏ°z’KW9U-¿{!ϧ£‡.³çgiÔ¤…4جž7(ìݸø·^Å-ÿRÝ};÷ø÷åœèz¹n endstream endobj 18 0 obj @@ -41378,7 +41493,7 @@ endobj 19 0 obj << /Type /XRef - /Length 79 + /Length 80 /Filter /FlateDecode /Size 20 /W [1 2 2] @@ -41386,19 +41501,79 @@ /Info 16 0 R >> stream -xœc``øÿŸ‰‹D012{2002ð fc%n ân,@BRÄò"] bãJSA3£Ô ˜Ôq¡œ - +xœc``øÿŸ‰‹D01²¬c``dà,ÓAb@–œ`•s„‚ˆµ HH‚ˆL !óHÈ2CLaÌŒŠ‡bŠ·ì¢ © endstream endobj startxref -6855 +8666 %%EOF -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mymul.pdf code_examples/techmap/mymul.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_03.pdf code_examples/intro/counter_03.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_01.pdf code_examples/intro/counter_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_00.pdf code_examples/intro/counter_00.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_02.pdf code_examples/intro/counter_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.dot +pdf2svg code_examples/selections/sumprod_05.pdf code_examples/selections/sumprod_05.svg +pdf2svg code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.svg +pdf2svg code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.svg +pdf2svg code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.svg +pdf2svg code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.svg +pdf2svg code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.svg +pdf2svg code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.svg +pdf2svg code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.svg +pdf2svg code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.svg +pdf2svg code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.svg +pdf2svg code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.svg +pdf2svg code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.svg +pdf2svg code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.svg +pdf2svg code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.svg +pdf2svg code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.svg +pdf2svg code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.svg +pdf2svg code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.svg +pdf2svg code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.svg +pdf2svg code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.svg +pdf2svg code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.svg +pdf2svg code_examples/show/cmos_00.pdf code_examples/show/cmos_00.svg +pdf2svg code_examples/show/example_first.pdf code_examples/show/example_first.svg +pdf2svg code_examples/show/splice.pdf code_examples/show/splice.svg +pdf2svg code_examples/show/cmos_01.pdf code_examples/show/cmos_01.svg +pdf2svg code_examples/show/example_second.pdf code_examples/show/example_second.svg +pdf2svg code_examples/show/example_third.pdf code_examples/show/example_third.svg +pdf2svg code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.svg +pdf2svg code_examples/techmap/addshift.pdf code_examples/techmap/addshift.svg +pdf2svg code_examples/techmap/mymul.pdf code_examples/techmap/mymul.svg +pdf2svg code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.svg +pdf2svg code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.svg +pdf2svg code_examples/intro/counter_01.pdf code_examples/intro/counter_01.svg +pdf2svg code_examples/intro/counter_03.pdf code_examples/intro/counter_03.svg +pdf2svg code_examples/intro/counter_00.pdf code_examples/intro/counter_00.svg +pdf2svg code_examples/intro/counter_02.pdf code_examples/intro/counter_02.svg +pdf2svg code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.svg +pdf2svg code_examples/fifo/rdata_proc.pdf code_examples/fifo/rdata_proc.svg +pdf2svg code_examples/fifo/rdata_wreduce.pdf code_examples/fifo/rdata_wreduce.svg +pdf2svg code_examples/fifo/rdata_map_gates.pdf code_examples/fifo/rdata_map_gates.svg +pdf2svg code_examples/fifo/rdata_memrdv2.pdf code_examples/fifo/rdata_memrdv2.svg +pdf2svg code_examples/fifo/rdata_map_luts.pdf code_examples/fifo/rdata_map_luts.svg +pdf2svg code_examples/fifo/rdata_map_ffram.pdf code_examples/fifo/rdata_map_ffram.svg +pdf2svg code_examples/fifo/rdata_adffe.pdf code_examples/fifo/rdata_adffe.svg +pdf2svg code_examples/fifo/rdata_map_ffs.pdf code_examples/fifo/rdata_map_ffs.svg +pdf2svg code_examples/fifo/addr_gen_proc.pdf code_examples/fifo/addr_gen_proc.svg +pdf2svg code_examples/fifo/rdata_flat.pdf code_examples/fifo/rdata_flat.svg +pdf2svg code_examples/fifo/rdata_coarse.pdf code_examples/fifo/rdata_coarse.svg +pdf2svg code_examples/fifo/rdata_map_ram.pdf code_examples/fifo/rdata_map_ram.svg +pdf2svg code_examples/fifo/rdata_map_cells.pdf code_examples/fifo/rdata_map_cells.svg +pdf2svg code_examples/fifo/rdata_alumacc.pdf code_examples/fifo/rdata_alumacc.svg +pdf2svg code_examples/fifo/addr_gen_clean.pdf code_examples/fifo/addr_gen_clean.svg +pdf2svg code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.svg +pdf2svg code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.svg +pdf2svg code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.svg +pdf2svg code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.svg +pdf2svg code_examples/opt/opt_share_full.pdf code_examples/opt/opt_share_full.svg +pdf2svg code_examples/extensions/test1.pdf code_examples/extensions/test1.svg +pdf2svg code_examples/selections/memdemo_03.pdf code_examples/selections/memdemo_03.svg +pdf2svg code_examples/selections/submod_02.pdf code_examples/selections/submod_02.svg +pdf2svg code_examples/selections/select.pdf code_examples/selections/select.svg +pdf2svg code_examples/selections/sumprod_04.pdf code_examples/selections/sumprod_04.svg +pdf2svg code_examples/selections/memdemo_02.pdf code_examples/selections/memdemo_02.svg +pdf2svg code_examples/selections/sumprod_01.pdf code_examples/selections/sumprod_01.svg +pdf2svg code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.svg +pdf2svg code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.svg +pdf2svg code_examples/selections/memdemo_00.pdf code_examples/selections/memdemo_00.svg +pdf2svg code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.svg %PDF-1.7 %µí®û 4 0 obj @@ -41406,15 +41581,15 @@ /Filter /FlateDecode >> stream -xœ­XMo#7 ½ëWèÐÃø`­HQ_Ç( -,ÐC_Š`ÙM²Á">4Á¢ýù%9ÒŒ³‰ãYŒØ'ç‘â#)ƒõü·þBÊöËÁüc¼ !fë],€xQ± ýëwûáÆÛ¯Ïì¿ÆÛüùf®?±†··¼ýÃV²)»Êû²ŽÁy -öÑæâ %t‘¥]Ô%ò³¯ÇýfVã½q6Ѥsüª«÷p?}µ»½rÞ{$jNOb‰T6Píþ`>ÜoýÖ[°û{3ÜlößÌoû3Àú>²%þ¶”ìÓÝ*PÄM@ïÒÛ°~] ÅÔÁŠÒ¸~\ Í…SÀvË€ ˜30ÝJ`9hÌè´ëá—Í6°ù´ÿh¶YŸ! [p¼ V¹í*7›<ÜÞªÞYOÐ×É]_ÀŽHÀS)ù÷¢&©pÄA†êÓ( -ÆR$êàJ¬šg!òsŽJ±_΢?sºŽjb{ž9+o—<„¤Q$ ¢¼[¨üJ§%†wñÓEXU¥¨¬¥”Ô‹ÐS· «IÅ6¸¶­e[)é›F*õ}Za§U2Õ·hõ¼Ù"ß?/%V™… 8ƒš…Ü8.É-¯65OÆ"Y¸¶±<§nDWp ¯„ ÙÓêuæhuyTc‘ûtLËØ_©ßŸË•ö¯”˜•”S›.¿èó½¶ÞEòGªäÍdeÖ$8nö]|xùÊuíž=yüŸæø[ðÙ¥ÒeIˆü–À=2 +ú¬Çœ/R\=¶G®äØ«kã†V×4rÌש¼¾Ü±{_)ÑÀ‹+9ÙÂŽ#öÀB --Í_ò<³EΡڰåØò‹³°mp¬>å× õ%ù»Æþ˜¿”½+Ò«Cl5=‘PéÉe $Œ%îǾ–Y–DNhŽ·Œ&³G›hì}“Ñ&?¼eMr³²«øôôp=ªÃ2ÓG=„õ Ñ¥˜l-®æб¶)Oâ!MWää;æ6ptËüÛ¿«­CÍsdIî©‹¬bÒ¸‘4¨Y}Ú";kìÌŸæ}žÑö +xœ­WMo7 ½ëWèЃ}XE¤¨¯c +è¡Í^Š ‡ÔnÞCmÿ}9;¶»ñ30Ö g9Ô)>½%ñw üc)þîìþt1¤Î”«!7I$0:w!ö¿þä_}ˆþÓ7Gþoý|¾¸wïý½£èö]<õ Îæ4ä‘ä|mÔ'çШŒŽÄE˜:Cw‰ãX.ç³{´ÔÛïÿúÉOŽ$ÄYdÜõì©(”Ž ÝŸÎîÕÇC<‰?}t7nO_ÜëÓ ¥¡±aÍ»/Ýýc(JmÈu Õ«QU¤:{ 쇭ÐðákÀŽëI¼3{30­Ího×AS8%ÍЬ«‘Õ¬È8ú_dïn~¸=Ôº}zã1Té½Ud±’ ä~ +9ߨÜüõE¾¸¦4oEí¶ÂLßëþo«JlÌд¾Ä!a§êéa¯¬€%pBÇ€ÖÐÄþîEØ/´•zpe +=².®g03 +BŒéÏúNWã—çñGÇ5ï9äÜÒC®¨¦!O@ºÇÚö!7`ã°†|¬ÙÖ!78ãd¨½Ãd$Žk†œ÷ò”Ûe+°÷ØJ){9¦ª¶> y­{¥ž‚Î'<® ÃÍ™Cµ`cƒ«`ÀËÀà<V_Í)ô´™,“UƒäÄ`Úi5:Ð¥ZîÊþ!åltð<þh$õ…Úay¢v°èE혣gSgH°P;Xa‘ÁœÏ—Ú¦vèêðü¾º³É4–ŘÛ#жJ¼Ll|kEdÀWîÁßMš X/yâoîÆß1Pe;³=_øûq¼5¬-Ôi}"NËB›–QšÎ1°ÇwÜÔº´Ï²´í¢J“oW%éÝÚ6Õá’Eup°á¨™3yçÚÈê–¢^A ëÊöáEÉ;.ò˜¦™^·ÛÑzbR§¡CO¢q¿â e_¦ú nž: r_)A´5` ³2:¹.Ýày.±µ,²Ô¡_O–ܦòº¦ºÚµûu—&éï‘lŒ$ ¹Ìa¡€{§ ·…{uWDHÙ.Ž”ñŠPÄÓZ'6´ÖóUm] mlÝóø£Ie,¤yhð8> stream -xœåXy|Už¯~õú¨¾ªº»ºÓ’t§š$:gÜ(¢0˜ 1›:€„+!Eîä025¢¢B`F7 -Bdê83ãàìx਻1Ÿ™]Ö“Êþª:s¸óçîç³õººÞUïýÎïï÷ŠPBˆ@ Àý‹ï[z%ï‡ç q¶ÂÍ»em`GÁ בqÝS±táâÏÿÔEˆgvl[X½¦âß…sõX‘ézå‚ûb9¿½u!Þö «ÄgÀrÛÅØΨ\\»ºÌiŒíµØ¾µºæþûyòl¿…íY‹ï[½”_Æ -IJÂv`é –®Xcø -Û#a÷ŽT¨-|kCjįXù7‰áMjb¥Oòº.u!â¥îKÝù.)(e¥`On,‡äŸª-FûõÿxÀ¥­Ñ÷ ¿Ÿˆ\"*yÉA‹ˆVZçÛmÚá -n5§îØä*·ÖÙ9#ÁÜt[Äï a ó§{²³Äk]¸C4zUì‘œEECH^ÏUµç«?ˆ=_õHEER‘³(_ɳk²WêÌæëuÒN®ºø.Öeè’»<]ÞΤN_§ßVJKia(Ý »=Ña.©@Úi(}`áÐaÁ¡qÌ*K ¢ÈûrËÆ͵˛;ÚÔ¶1­UÇÑó>åvoXò°XVþòÜß}Fg~´²®æáíôÔÍ_Å—OŸ|ªõ™W¦­Ù›9+ë +xœåX{xå¹ÿ¾yçÛÙÙÙK’ÝÜv“,›$l!È‚Üb© &b$â’M A’QÊ= ˆ(iE¬Tm Õ1b@­µžV¬Zh—xëóxz8>6Âä¼3‰½ÛþyÎóœù2ûÝ¿ï½þÞwB(!D$íˆïÎÕwÔ_ò<ô8!J;!Ü­w65øöæýè +!ŽË„Еõ«VÒþŸý„¸êq×îUµ*WNþýl?AˆýbÕÊ;"Y¿¹a&Î_űÉU8àðI§ qOÂ~ZÕê†æÅ/±Ø/Å~¸¶îÎ;¹GïÂþ¬Õw4×ókXöñ>â«¿ke}ãÓ—ØÇóØí„#•Z_ÉŽ!µñ†­üËÄô25³rŽ'9ý'åâàÅÁ\§êW~Õ_É“«ë þêGZ— õ‡»Lú#ò»ùYÈu:ÙΉ÷K¢Xi‹g¿y¯Ó¿'µ3iï¸g…µEæ<Œøǧز½v”˜7%&3C¹Ò7„BÊê(,œHr†´¡/?V†¾R ÕBGan8MɬËlNïËä[Òûh×ý|?ë7õ»ûcúcûâú<}^[9-§ù©)&·+&/4Ù©æ¹ýù2MM—?irž?Ò8œRó§Ó¼P ä|¾sÛŽ†u½Ç´cÓºkNþûл”Û¿uÍ=ÊòŠ§—þözãûM-u÷ì¡g®ý*ºnþœ3Ý>S²akdÅÛ—P˜„A=²Kì-”€ƒ<þ.‰0ã"”3™˜C唈‰Ù!…p‚Y ŠYñ•¬œM1›……œI®C9™¯3­AUì²M2 ¸›ÓNåâÏÕX] ScCQ — -“p}¨Gv…½‡p’—”;HŒ£œÁÀœ'Æ Ì¡w‰„3šŒT4íx[¬œM4™Œ·q{ ÊÉ|a¾’è°Û,&#¾@‰Íe—xég’WÈè«ÝÞè¥nÄŒÙÅF‘}éÙ—ÚO4}©µzW£$ýÄ '¥¥ŠÇAÔaw8øAlam="å8 ¥¤”Í´°€bA@ -dºŸËP{nN]ϹŸãäÝ7?:Üp³©¡KáÎÿ%%Ϊz‹ÇéTµ#®ñdòCÞMD"d’N5Ö˜e±Æ;ßEjÌ:EÁH“R3±Ù“y{ÐãÌ0É̯uG¯F5›BÖtmwwG±Oì)ÊÏ F‡iZB’ôJE"¥Pa0ê‘Ýc*•Ý|öÒœ~æeõ=µmïÞ6U]uú4÷ÑÇŸÚwúœú¹z9~àÈóOÄܼ¥¡·%ÎHÕ«:“~Òö¯¿Òé>ª^äÜÄ@ld©PŠ 6÷@>èœqØ]dA$È4.HNñçEÊ£éB`°ßF3;¦¬Hæ ‚Áî墚Ýv¡Z®v‹="Š_ìAgAÖFwuG/u‰=Ñ_Ÿ;;%§K‘0Ø‘—J©Ô+ ƾaÃ¥Ik„Ó°¤1h0J!âN,[µòØÂ-UçWó·üᵺb N–,¶n]ùÕ¹Ï/ÆÊ>QXR6wu÷„qQñ¬xðæTêÞÒôSåÙ­­g­Ôª^3 ÉR{k7šÕÑ·¼´óð[õ?¨+Р‡ô¢0®±?¢Ï®Vðš™Úëm`à)3,2ÑåÄl”xÂYÄK]]7º4=E¯uI莺 òhiøømM¾}_ ÌCÿpÓ¬A‘¨=ò|Õ£öˆhæh)–ÉÂdËdëd÷d™¡àB’7xÙM FªÑ,¤º[£±PÃÒª÷+¿ võçIVuW³âÆz®¥ñ‡[wà—Q¡hôÇg¯¨¼8Ö¡¶-¦]-Ùº÷ÈvÍ—÷}'0vf‘÷•ñh‰Á±VKj—k±Zn³XAÞCŸ$­lGØó¤Üêßál -—g,Ö`ŠÉ›+§Œu˜Âòä .×A&eë±ôjÂÜ1–j<‰Wot_ëÖƒ©·ßFì_bÕ¨ÿ£qr´XQN ÂÁpz84O˜g™gg›—6/0/8/½8´1mc`cpcúÆPKZK %Ø’Þ:œv8p8x8ýp(EÉY—sÌ}L>æ9æ=–tÌwÆ}F>ã9ã=“tÆgG/DÀK@zÕaOs61/áhiTG> ÔËã|óÖµ—íÛ°¥þׯÏyíþ8¿yEíªy›víÝðÙ; »F]_µ²´dòÜ!¹‘G*vŽd¾°öÎ;o¹#;7¯¹fïK¢cÛpÄŒ+|˜øÈ -%Ý+¬67·Áœd«særžD¼, :ÒÃÖvöÑS?¢ŸèØ7 -ùèèǾ)JfIˆ}©{LI{ì´ûìå¦&g²ßRèâ"²„çkЇ©FÔ¿ƒ¾ëB¨èá:=š);e7‡†‘Rš /|ÝÇé" ò®_ïBÈS÷ÒE»›šwîlFÈcJüæâX™úÓÞ^õí²Øá8]ÑùöÇüîßžÑä>cÊE´å$ò˜’n2»%Ž:‰0O“Í\'°&.—ˆ§)lØó}⵫˜  ÷iÑ¥§'ªž?Äž×cŒ2B$"9D^d¢,zD¯˜”çWˆBN…WØDYñ(^%IÆ­9mr€8C)ýF/>]/>,ýz‘úMNWžYȉdðbœÍœ±yç{7ß=ÜN+‡©Ë½ŸÏR¿º}æ©vTZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.dot -ÕÃœE¯×TÙ¿ŽGs‘G7I&3•TÎ$×Ó>¶Ãš´ƒ<ál²–0™e?I¦~GöMˆ/?Kxa·¨bŽ«e³Î@Š’².. ôwA¾Ì4²ƒ‰ 3¼@³R8”D‰Q§ØÈϽñÙS×<‘¶'òå_¨ŸRÏÇ¢Ü%ÃÎÍëv‰1]¾²®áX;-PoСêå㯽~úÕ„/ŒB¢ïD2EqêLËxRÇa  !ÂòÔAô†&£7ºóOì°ÐoÅ'èâ°$Ä—ùÔhÛÑ›_G;‰Ç5›Ðöyåò)ân +t-ý@&Z¿²u˜$/ {ÖÉ1ÿç¢oÌ!sbÊ’)YÄÁýc »¦›³ú·@&~d¢Žd–@N~άœ¥99LãÅ9Gi3ÚÝz\ËÖ}9›d©Ô²,YÖ,[VZV +˜•>Â\$Œ´Œ´Ž´Ù‹RG¦ Œ ¥M¦Y¦[§Ù¦¦M L NK/J,%Ö[I $X’7W Õ–jk•­*­:P¬N_ªê-õÖzÛ{}Z} >XŸ¾&4¼5§=‡ÓPQòþ5*f$R¿FE>˜>ðôrvò̉“Ë^9÷ëcÔ~­òzÓ2éøËŸYûö«ï¼¤þ›úe}ßT:‡yºySuíðQãÏ>úW¹9êÙƒÍÕWÕ>æõCïMØÃÔÓ:ÔS6ÆÇsÊ‚4W­swAš#«–,¸9Ês©æ”P–@Bƒß`u ÄÉå$Ni_‡ÊoÕq^ ˜˜»»tGú6MG`‘¾AýZø ¾Co®¸|ŽèxGýL½¾â÷K– -Gî;þJ{YôرG7mz̶”µD¿þܦ—þË/üò÷ÑšþèžãûÛ_®Ø¹ký¶ÆXc¼ý0Ÿ<¯Œø]6ÞCÀ˜"n€p]ÖÌ`’ÛÂŒuƒ¡)ä1ŸKcMLkØ0Î;Æ–#®qƒ"ÙpFd`þa@¦®ŠXÐè´s×èžh7ªqEójYÁ¸hY´&úh´=ʾu•!º« ÁÒŸ,¹ò„…L³ßEî2–Ø‹å -Ra¬´WÊkìkä d›Ý-P3g³Íà`>æ7$û©ŸóƒÏâ³úm²ÃçóùåäA_.™–Lë [Ø>Ð1P(eù²üáä"nWã`*™F§Ø';¦$Ï£%\ ”pCÛ]ö8æzæúæúç&Çiœ‹ÃBc%&¹•¶…öŽŠäÕd-]Ë­…µ†5¶UöZG­X+ÕºWË+=+|+ü+’7’-Æ&K“u‹m‹}“c“{£¼Ñ·Ñ¿1ùní°îêφuë°$ý¹17ç÷;è¾fõzë6UÞôÙç[Õêfš©¾¾ž^YÇm¡UUê*u8ÝǪgñ=]U•@ Ú—*+á¹™øL%"öØÉ:ÒGgÓûèjú ÝÅç> äF^ ¦÷õißH+½“–ãxCÿ¸ Ç‹¾ÿþ‹âÐýô=ˆ¥µ¿œÇò}ë;óøï]Rùý‡ü³Ëñºñï(´à¿öeà»—HßÔ­Gµ¯{Úåü§{ý¿¼¨@–£&:È;X.bíV'ËÈ&ÒŠõOI½ÞÿoÒ -ö¼Ï´¹IÍÂyœVhÑty×)À±Oq~¶[É|}¼ÞÕË~x—[E8˜…µYú­¤FáúÝÄ­¿õC^#G´:{—´à¼;Ée,põéäyŸ®'mô=Ò@šÉrÝôR¨€çö8–ùì=½ü‘Ü£ï¬õÅÙ{˜Mµ’8òy -WoKôÓ,z'”C-F9za"N68_Ž%¬—‰: 8®÷ïç—¼ËÝÃeñaz÷Ñöx×?BÆ ½HéT¼9~ø‚´‚)ô±7Ètãtƒ¢ dŽÖCÝoH!å¤æà -3±¯™Ì¤—qLU_10—#±ËœkWî(¼UÌüM3 ídV»mM £¯oV1ŸÌJÚÙ€vÈ4µó™¡¾oð£ÜÈŒYÅö·'Mì_uRùDì›]ŒU­…ÝØ?ib.ÑQ‡»·ãO-+O•9FÿI3évóaï/‹¾~þù77GŠ¿1}ˆMÍFh°Ða«)„H)þÍ¥âoúû¿½\|S…ó.8וÁÎÅàœÂweÀOlpö0;ƒ7ÂÐY -gà”NZàµWÝìµ(¼ê†Ž(ü‹ -¯¨ð² -?Rá„ -ÇÛ§°ã½Ð>Ž©p´^RáE;¼pÄÊ^pÃ+<…çbðl*´Eáé§bìižŠÁ“-vöd&´®Xk&šEøá`8°9•Pá‰ý"{"ö‹°ïq;Û— ã¼Çíð¸ÂïÅ÷ºao#ßb‡…,ö<’Ïö¨°{—‹í΄];ml— vuPE1ó;ØNìì D™Æ?*À£üŽš¶ã$lȶK°]á›±Ö<š¶dM*lÛZʶ„müÖ-™lk)lUø-H×–LؼIb›SasG_§ÒÇo’`n½!äÃz<ÜY 1c*¬«Ù:<Ø`gF¡Ákël­ êP×k$X-Àª•¶ªV®ÀV`ŨŗjSa¹ -¨°l©-Sa© –*|M,Y<–-©‚Åc¡ºÊʪE¨n䫬P¥ðqÜ2Þ ‹*O²E*T.,e•'¡²‘_X‘É–ÂB…¯È„8iA/Äbp¿ óU¸O…ò²Á¬\…²Áp¯ -¥*Ü3în€y*”L„bîRaîIø -³cp§îˆÂ¬ÛlVÜ㕠p«¦Ç`Zº‰Mk©Q˜"›â‚ÉN˜Ä l’&Þâb«à– "»ÅÆ[ØÆ+f6ÞŠMŽ³ùq-0–Ïecoƒ1£ÝlÌ =J`£Ý0ZáG 0²ÈÉF–Bщ9a„Ãm0L…¡nV¨ÂÐꆂ¨À -\bfQ¢ ý 1C~^ËŸyƒe–—yüàT –ap#ŸkŽ±Üˆä¸Ydä 9nÈQøl$=;YƒòYÖx„„ ʇ0>Â*  ™¶$–Y -!'˘ !|-䄧› HbÁR¤I,N> 7K“ ­‘O UáSB0ÀÉà÷å3ÿlð᪾|HRÁ‹›zUðˆ »ÝL®·ËÅÜnp+¼ËNœç< ŠWRAć8H¿£ì8fWÁ† Ø’À¦ðV,Ø°(#ª@À9B˜c`2JÌä£e†`ø‹‹ñ¹€‹rÐÙ@T 4¶¡™æüŸ½Èÿ6ÿã…Qã¿5f#¶ +û<6$°Ïõ?Åü¹ÞŒ¡±NYÊ©t¥åá;±S»l·óé,Ý”nK—³Õ,‡©œ”S¿…æçQ,(¢<ðCž›æÒ´¡kó¶p®rîý×Þ?Þv­£í—È]ø:1Êj†ïFé<­7ªód-òAÞÍD%idv8˜$ÔYÜJ]ì +'©³lr(¢@ã’Ò±Éñ¼ìq¤Yˆêò”+ƒ¡nSÈš¡íÁÁŽ)C…¹h²®%$ÉhdQ$RMÍ÷‡bÜ.“DÝ.ÞO‡iÖO?ú´ö–vìàÁcš¶þìYîý>:úÀÙóÚ§ÚÛÑ#'0ºiÇζá®(#5Ïön}Ø÷Ò±ßý +é¦ä ´ÕØ$ƒÃ3SS¸4¿"Y¥¤d.ѧX­ÒBÉêóó1t3*sM0f³;â]ã¨Vdú$«?ÑkwsvbÎp i‰ÄÎeÆ;0¨ ¯Î2peð*ŽhCÈá¨Âäϱ9V¡v”,];’/+7kQV}V{+§ zKô8—,[ÐãZ²¬ôy’IÂHn&É離L) 7¤‹R†5Ö‘œáËðg¤L±ŠER‘µÈV(&%ùŠü…)SRKÄi¾µÄ6/¹ÄWâ/I)ˤ2k™­ÌWæ/K‰ZjÅZ©ÖZc«I®õÕúkSªS[ÅV©ÕÚjÛ ·&·úZý­)R º³z²8´•56‰Ž*$‡N ù“ÒÐ}bÕÔ tÔÕ’é¨bRÆ]ÇN¿pêôÚgÎÿúÇT¾RõUÇZõäÓ?ºñÕg_{Rû½öyëÈ<º„žx¤s{mCÁu3Î=~öWã³´swÞÝZÓ2µ`ÚóGß½µ¯§´7ùÕ&1™H*Ã…&›kï·OŸm—de¶ßVÆ'zs²+B)¢o‚× ‚…(¢ &Ÿ9#;D4ɱ\HWQ?º¡%teH×QèâÔþÁÐÅ~e(ôë J_Ÿê@e¦#{ã‚ #C1±TÍK¢±ªÎòä5OÕ;ÈuPÕù5 j*Nq§Ö®oº+²ê®êzmE-¨ëãç.÷GVž.[mÝÕôåùOߌTüb–¸fùÒædîA¡ºtQÔmuíìúQø±]Ýç¬Ôª]1OÌІ¶Y´©×?¹ïø+víTæò {Fa\A{Is8×áW‚‰§ÌTm¦ëˆEPyÂIÊÅþþ«ýº?…®ô«›†éñˆ<"Ú—¸­J2¬J"Ò7Veñͱ䈼nƒ’±f¤ot küù~•åòT¿›¦R^{“Öî¢|”ÿÍK[‡[ < d>!ÂírÉãá>¯ÓÆ›²‰ÊV¶d øã\Z&@GjŒ…xœâ4kJ¶Ïœm šŠc§y‚îlgqzv¦/˜–=.w¢reaâÊÀUô¥ :—ŽƒS‡BC±! ½-„®„ Kš塺н¡ž£: n…‰ ±Œ²væˆ9RNlNÜâÒ±oÄõ…,åÔˆn#Nä©Fxø‡D ·±”ä?ºæ±cÇ5®»¢®õînÍÝ¥ÕvÒ€öüzi3·“æÕÔhëµL ¦kçð÷=[Sƒö0òæ·b^à¢9áÙ6+'EU#QÅJ‘DàA0s…ça¡Hí’žýØL+´ŽXUÉ)‹*gq ‘iªÊHçV.ô_èGpª£…£0gèý[ÕŽÖƒö‰1€Á¢»Ûð7qy‰á=º%,3‚¯¾Â0·¾rÔ"‚8Yœ-.ƒ[ÕJ±Ö‹fÁb–À +6¯à5+VÅ–)dšsbŠ„"seŠX,Z‹­…¶b[±\l/VæXJ¤¥–2i™u™­UW‡Ê«³UÄ4 Mæf©ÙÚlÛ“êБ†ŽiĨ0þ¸é–´¼.ë)ÚHHOujÅ/D9÷IM͵cÜmø›}íb Þ~x#Ɖ²0ì·ºˆÝF¸ˆw%«¶­W]6k,i¬É,‹ +uH‰õLÑl#ãþÓÆŽ¨sÄ9Òë×·Ž¨©ª}¼ÛEMÕiôçS#L"@RS}Í»UŸQYûEœUÛÎÕ5^FÏwµ?´kï~- §~pî’öF,ÎõjÇVÓþ®¯w<±sgrâ_/Æwñ“¹á€Ÿ©n IÌqdÚkI‹\aîpÄ{¥|'—íVarSôÜ“Ç+ƒºúŒ$KÑ“¬ÂÜS›SQÓŽéä:Ü.-ˆMGqmÐOÒêɵöõUëc“µƒ´zGç¾}û£,½¶:²\ûÙð°öêòÈñ(mì{õƒË¿}ïg/è8§ã$b|ó’ü°—<»l­÷«{-P/·z‰ËkÊŒG/þÜøl¸ª¨ 䞪K@Ú¨Ž´$_!Œ¥{¬\Àò +}å/Ö±o=Á„éæ?{8äà_=ü_´Å¿£ÐŠ_òßíþ¦o6~-Äþ/oûøP‘¬CMô’×°¼‰­H”¬%ÛI7¶?"­Æø‹¼Y/8ò.Ó×¾Izi®ãôBsˆ®Ë³xNÎ}„ë+±ßMVóÃðºQÃëÜzÂÁ"l-2vt“^¸“†×G_c×kdyŽœÐÛìuÒ…ën"oc™‰§Ï'gÈ»t 9Fß"m¤“¬3Œ#‘Š˜›D±¬`oå r›q³>eo!¢v“(òyO?6:N3èMP•´9äè LÕ¢d+‰òX‚F™eð7Êǵâýcü’×¹Û¸ >HOà=ú¯ãù'È4¤·)‡/§ÓŸ‘n°"…ö"™/Ì7Y1ܶ‘%8Û +yô°)‘T6X‚'܈cäFú6Þ‚ðÿŒ‰ñ€¹q¶Oéá%‘žðwK}¯”ùÇgÿMק¾²¨Ç¶Á×;2²¨”ge=,¡æ>úþ·M¾?>{Á¢R_Ï«³g:»bŽ-.ŦÞÃaŸ=k<1P‡»½×%o9µÜ>õ¿Iò¨;½7üËÂoê?¾s­HyÇüÑ}3vû„ÕZ"!jâß¹Z¯¼36þçGáQbüyRÉ^¹ÂÞ'kY+y‚Å’§ðºÈ|$ü”ß¼‘Ïpl7K&×ñ­¸>÷m;g^6‰œ‚º~æ!ÍHR¡-NBÔ²bß–Žo/!r½þÿXƒ*…³,rÈ ^!èÂKô¿°Ï÷ríá÷^Öà‚Î÷§±ó8æûÓà%œ{1ÈÎEàÅ ô•Ã mpF‚Ó<÷¬‹=‚g]ЂÓà žÖà'œÒàdÏ\vrzæÂ5xª žÔà ~tÂÊ~ä‚Vx<?ŒÀcIp,ü ÂÑàø~—̾€îf‘uàèxX‡&À‘IìˆV؃‰pXÉìÂu‡d8æâƃ.8ØÎwÉÐæïÀïå²ì¿ÏÉöà¾}6vŸîë¥á°…ßw¯ÈöÙ`_/%áþ^îíã÷Öµ±½§aÏÝۣž0߉­Î"èØ}šuh°{W9Û}v·ó»vØ®rØæw"];°c»Êv$ÁŽÞ‘¾ð¿]…­xõÖ|/¶ÄÀ=]p·í‘k×`s­Â6{`S›Ì6… M†­v¶Ñ ­vhé‚ *4‹°¾ÉÇÖCSckòAc4আ$X§Á]¬­·±µÔÛ >Ì×µÁšÕÓÙšX=jk¬¬VÚv¾Æ +5a>ŠWF‡¡ºê4«Ö jU9«: UíüªÊ[U«Â|eV⢕ÉÀnX¡ÁT,ŸÀ*4X>n× \ƒÛÀ²6¸Uƒ²YPªÁ-,= 7k°87¹à»!Xô;[Ôß±Ã3Â3á æG $ÅÌJº`^æ‚Âæ:aŽfs"›íY×;Ù¬¸~¦Â®wÂÌ›©ÀŒ°…Í l°.ÇÅ|qLçdzé aÚT›¶¦^'²©.˜æ¯¡¨ÐÁŠÊ¡pŠÊ +0E…LÖ0Ís±| &å9Ù$ä…D–ç„ÐD ‰ÕÏD äæıÜY3ÁÍrâ §Ÿ$² n˜ÐηDØø.ÈÎr±ì…Ld¹ +Ìg"é™ÈHÏe3  KÏ… VA ÆAÀÇå–ê`i‹!·¥: 5̧˜Áï‹cþrð%«Ì¾>>/KV!¹O!)Ì'¦B‚âÓÀëÉeÞÅàÁS=¹§A,^«AŒn—‹¹kÀåt2— \aÞé®sœÅ«j `¥Ì;Òoïçd lx€-laÞª„)<¥D\#¶%fAef*˜Xˆ™Ú€á>ÂÏ\[€‡r"ÐÅ@4 ½4²µ“fýŸ}Èÿ6ÿôÁèð?*i+ endstream endobj 12 0 obj - 5439 + 5017 endobj 13 0 obj << /Length 14 0 R /Filter /FlateDecode >> stream -xœ]‘»nÃ0 Ew}ÇtüŠ• éâ¡ÔíØ -¨eAvÿ})1H6È{ ‰ÌÎísëì -Ù{˜u‡+ŒÖ™€Ë| aÀ‹u¢(ÁX½ÞN鯧ދŒÌݶ¬8µnœ…R}PqYû'3ø { ƒuØ};NuWïpB·B.š ŽÔî¥÷¯ý„%ó¾5T·ë¶'ÛŸâsóe:|%=\|¯1ôî‚BåyjÎü«'¶ £þîƒP² ižSêÀ|H\2—Äå!1¡*ÖTQ#9/c¾~LL4ì­¢÷X%¦@\3×ÑË™4ÌÇÈ’52i4³Žý¹OûTÌUdiXcbŸ÷9¥‡ß^GwuŸ­¾†@cM M󌓴ï;÷³®ôýBw— +xœ]‘Moà †ïü +»C•¯–®Š4u—ö¡eû)8ÒB¡‡üû\uÒÁÁïk°‹S÷Ü9¡x³î1Âh ¸Ì× Îx±NT5«ãm—W= ^$î×%âÔ¹qJAñA‡K +lžÌ|ÆÅ[0¬»ÀæëÔó¯þêýNè"”¢mÁàHv/ƒ&„"‹·¡s×-Éþ2>WPç}ÅWÒ³ÁÅÃà.(TY¶ Æ±èÌ¿³jϒ󨿇 ”¬(µ,)µcÞe®™kâ}“™‚Põ.3¡ÎoR¾4ìcˆû̈™“Ï‘}ŽIËþMò—Ì23×’©–äZ2Õ:°–BzÔíöéyi÷¾ékÔ²<¬Ü«Ô%ëð>O?û¤Êß/5ØÙ endstream endobj 14 0 obj - 308 + 298 endobj 15 0 obj << /Type /FontDescriptor - /FontName /EINORQ+DejaVuSerif + /FontName /WPSFNG+DejaVuSerif /FontFamily (DejaVu Serif) /Flags 32 /FontBBox [ -769 -346 2105 1109 ] @@ -41512,12 +41671,12 @@ 7 0 obj << /Type /Font /Subtype /TrueType - /BaseFont /EINORQ+DejaVuSerif + /BaseFont /WPSFNG+DejaVuSerif /FirstChar 32 - /LastChar 120 + /LastChar 121 /FontDescriptor 15 0 R /Encoding /WinAnsiEncoding - /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 685.058594 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 640.136719 0 640.136719 591.796875 0 0 0 0 0 0 319.824219 948.242188 0 0 0 0 478.027344 513.183594 0 644.042969 0 0 563.964844 ] + /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 685.058594 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 640.136719 560.058594 640.136719 0 0 0 0 0 0 0 0 948.242188 0 0 0 0 0 0 0 644.042969 0 0 563.964844 564.941406 ] /ToUnicode 13 0 R >> endobj @@ -41529,8 +41688,7 @@ /Filter /FlateDecode >> stream -xœU‘Qkƒ0…ßó+ÎË@_Ô¤ÖnEúP…2Æ@Ú=mì!Ä`…a$‰cý÷Kbu,÷é~œ›snB‘Z`›š„î°)žHY"}»iÃ;i€ô¥o >ÀáŒÏ€*5 ”a¢Ñª„ÔˆïµMèc’#ºZ;š}šÚi>^{a¥»8ž¯Ñ’Û^ 5·Q½gcuÎ{¼Üÿ—ÎÕ6\KÁ‡ -àU¶=?ª—4sµ¥,ß­yëäùª?i5(Kßø~ötAG5Ìè½ÄmÁÏ°z’KW9U-¿{!ϧ£‡.³çgiÔ¤…4جž7(ìݸø·^Å-ÿRÝ};÷ø÷åœèz¹n +xœU‘Qkƒ0…ßó+ÎË@_Ô¤ÖmEúP…2Æ@ìž:öb°Â0’ıþû%±v,÷é~œ›snB‘Z`›š„>bS<“²Dú~$Ò†÷ÒéëÐ|€!C‹Ï€*5”ì÷a¢Ñª›…Ôˆ´MèS’#ºX;™]šÚk>]a¥û8^®Ñ’ÛA5·Q½ccuÎ9^ïÿK„çêG®¥àCð&»ÔKš¹ÚÒ,/îyGëäù]ÔjžP–¾ñýâèŠNŽj>šÉ{‰ëŠ_`õ,×®rªZ~B¶Çƒ‡.³ç­4jÖBlîž'7(ìݸø·^Å-ÿRým;÷ø·åœè|Sn endstream endobj 18 0 obj @@ -41538,7 +41696,7 @@ endobj 19 0 obj << /Type /XRef - /Length 80 + /Length 79 /Filter /FlateDecode /Size 20 /W [1 2 2] @@ -41546,140 +41704,18 @@ /Info 16 0 R >> stream -xœc``øÿŸ‰‹D01²¬c``dà,ÓAb@–œ`•s„‚ˆµ HH‚ˆL !óHÈ2CLaÌŒŠ‡bŠ·ì¢ © +xœc``øÿŸ‰‹D01²¨2002ð ~%}Ä$XE€„ìKHH*+ !µDœ‚˜Â"˜å bò Êøê endstream endobj startxref -8666 +8072 %%EOF -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/extensions/test1.pdf code_examples/extensions/test1.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_proc.pdf code_examples/fifo/addr_gen_proc.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_flat.pdf code_examples/fifo/rdata_flat.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_clean.pdf code_examples/fifo/addr_gen_clean.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_luts.pdf code_examples/fifo/rdata_map_luts.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_adffe.pdf code_examples/fifo/rdata_adffe.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_cells.pdf code_examples/fifo/rdata_map_cells.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ffs.pdf code_examples/fifo/rdata_map_ffs.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_memrdv2.pdf code_examples/fifo/rdata_memrdv2.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ffram.pdf code_examples/fifo/rdata_map_ffram.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_coarse.pdf code_examples/fifo/rdata_coarse.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_proc.pdf code_examples/fifo/rdata_proc.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_wreduce.pdf code_examples/fifo/rdata_wreduce.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ram.pdf code_examples/fifo/rdata_map_ram.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_gates.pdf code_examples/fifo/rdata_map_gates.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_alumacc.pdf code_examples/fifo/rdata_alumacc.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.dot -pdf2svg code_examples/selections/memdemo_04.pdf code_examples/selections/memdemo_04.svg -pdf2svg code_examples/selections/select.pdf code_examples/selections/select.svg -pdf2svg code_examples/selections/memdemo_03.pdf code_examples/selections/memdemo_03.svg -pdf2svg code_examples/selections/sumprod_03.pdf code_examples/selections/sumprod_03.svg -pdf2svg code_examples/selections/sumprod_01.pdf code_examples/selections/sumprod_01.svg -pdf2svg code_examples/selections/submod_02.pdf code_examples/selections/submod_02.svg -pdf2svg code_examples/selections/sumprod_05.pdf code_examples/selections/sumprod_05.svg -pdf2svg code_examples/selections/sumprod_04.pdf code_examples/selections/sumprod_04.svg -pdf2svg code_examples/selections/submod_00.pdf code_examples/selections/submod_00.svg -pdf2svg code_examples/selections/submod_03.pdf code_examples/selections/submod_03.svg -pdf2svg code_examples/selections/memdemo_02.pdf code_examples/selections/memdemo_02.svg -pdf2svg code_examples/selections/sumprod_00.pdf code_examples/selections/sumprod_00.svg -pdf2svg code_examples/selections/memdemo_05.pdf code_examples/selections/memdemo_05.svg -pdf2svg code_examples/selections/memdemo_00.pdf code_examples/selections/memdemo_00.svg -pdf2svg code_examples/selections/submod_01.pdf code_examples/selections/submod_01.svg -pdf2svg code_examples/selections/memdemo_01.pdf code_examples/selections/memdemo_01.svg -pdf2svg code_examples/selections/sumprod_02.pdf code_examples/selections/sumprod_02.svg -pdf2svg code_examples/show/cmos_00.pdf code_examples/show/cmos_00.svg -pdf2svg code_examples/show/splice.pdf code_examples/show/splice.svg -pdf2svg code_examples/show/example_second.pdf code_examples/show/example_second.svg -pdf2svg code_examples/show/cmos_01.pdf code_examples/show/cmos_01.svg -pdf2svg code_examples/show/example_first.pdf code_examples/show/example_first.svg -pdf2svg code_examples/show/example_third.pdf code_examples/show/example_third.svg -pdf2svg code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.svg -pdf2svg code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.svg -pdf2svg code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.svg -pdf2svg code_examples/opt/opt_share.pdf code_examples/opt/opt_share.svg -pdf2svg code_examples/opt/opt_muxtree_full.pdf code_examples/opt/opt_muxtree_full.svg pdf2svg code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.svg -pdf2svg code_examples/opt/opt_share_full.pdf code_examples/opt/opt_share_full.svg -pdf2svg code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.svg -pdf2svg code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.svg -pdf2svg code_examples/techmap/addshift.pdf code_examples/techmap/addshift.svg -pdf2svg code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.svg -pdf2svg code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.svg -pdf2svg code_examples/techmap/mymul.pdf code_examples/techmap/mymul.svg -pdf2svg code_examples/intro/counter_03.pdf code_examples/intro/counter_03.svg -pdf2svg code_examples/intro/counter_01.pdf code_examples/intro/counter_01.svg -pdf2svg code_examples/intro/counter_00.pdf code_examples/intro/counter_00.svg -pdf2svg code_examples/intro/counter_02.pdf code_examples/intro/counter_02.svg -pdf2svg code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.svg -pdf2svg code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.svg -pdf2svg code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.svg -pdf2svg code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.svg -pdf2svg code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.svg -pdf2svg code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.svg -pdf2svg code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.svg -pdf2svg code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.svg -pdf2svg code_examples/extensions/test1.pdf code_examples/extensions/test1.svg -pdf2svg code_examples/fifo/addr_gen_proc.pdf code_examples/fifo/addr_gen_proc.svg -pdf2svg code_examples/fifo/rdata_flat.pdf code_examples/fifo/rdata_flat.svg -pdf2svg code_examples/fifo/addr_gen_clean.pdf code_examples/fifo/addr_gen_clean.svg -pdf2svg code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.svg -pdf2svg code_examples/fifo/rdata_map_luts.pdf code_examples/fifo/rdata_map_luts.svg -pdf2svg code_examples/fifo/rdata_adffe.pdf code_examples/fifo/rdata_adffe.svg -pdf2svg code_examples/fifo/rdata_map_cells.pdf code_examples/fifo/rdata_map_cells.svg -pdf2svg code_examples/fifo/rdata_map_ffs.pdf code_examples/fifo/rdata_map_ffs.svg -pdf2svg code_examples/fifo/rdata_memrdv2.pdf code_examples/fifo/rdata_memrdv2.svg -pdf2svg code_examples/fifo/rdata_map_ffram.pdf code_examples/fifo/rdata_map_ffram.svg -pdf2svg code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.svg -pdf2svg code_examples/fifo/rdata_coarse.pdf code_examples/fifo/rdata_coarse.svg -pdf2svg code_examples/fifo/rdata_proc.pdf code_examples/fifo/rdata_proc.svg -pdf2svg code_examples/fifo/rdata_wreduce.pdf code_examples/fifo/rdata_wreduce.svg -pdf2svg code_examples/fifo/rdata_map_ram.pdf code_examples/fifo/rdata_map_ram.svg -pdf2svg code_examples/fifo/rdata_map_gates.pdf code_examples/fifo/rdata_map_gates.svg -pdf2svg code_examples/fifo/rdata_alumacc.pdf code_examples/fifo/rdata_alumacc.svg -pdf2svg code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.svg -pdf2svg code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.svg -pdf2svg code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.svg -pdf2svg code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.svg +pdf2svg code_examples/opt/opt_muxtree_full.pdf code_examples/opt/opt_muxtree_full.svg +pdf2svg code_examples/selections/memdemo_05.pdf code_examples/selections/memdemo_05.svg pdf2svg code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.svg -pdf2svg code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.svg -pdf2svg code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.svg pdf2svg code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.svg -pdf2svg code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.svg pdf2svg code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.svg -pdf2svg code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.svg -pdf2svg code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.svg -pdf2svg code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.svg -pdf2svg code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.svg -pdf2svg code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.svg -pdf2svg code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.svg -pdf2svg code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.svg -pdf2svg code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.svg -pdf2svg code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.svg make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/_images' make[4]: Leaving directory '/build/reproducible-path/yosys-0.51/docs' make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs' @@ -42054,10 +42090,10 @@ checking consistency... done preparing documents... done copying assets... -copying TeX support files... Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/make.bat -Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/Makefile -Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/latexmkrc +copying TeX support files... Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/Makefile Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/latexmkjarc +Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/latexmkrc +Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/make.bat done copying assets: done processing yosyshqyosys.tex... @@ -46492,261 +46528,261 @@ [173] [174] [175] -Underfull \vbox (badness 5331) detected at line 11741 +Underfull \vbox (badness 5331) detected at line 11746 [176] -Underfull \hbox (badness 10000) in paragraph at lines 11743--11752 +Underfull \hbox (badness 10000) in paragraph at lines 11748--11757 []\T1/lmr/m/n/10 Be-cause we are us-ing the \T1/lmtt/m/n/10 Backend \T1/lmr/m/n /10 class, our \T1/lmtt/m/n/10 "functional_dummy" \T1/lmr/m/n/10 is reg-is-tere d as the LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions::doc -' on page 177 undefined on input line 11756. +' on page 177 undefined on input line 11761. LaTeX Warning: Hyper reference `cmd/write_functional_smt2:cmd-write_functional_ -smt2' on page 177 undefined on input line 11780. +smt2' on page 177 undefined on input line 11785. LaTeX Warning: Hyper reference `cmd/write_functional_rosette:cmd-write_function -al_rosette' on page 177 undefined on input line 11781. +al_rosette' on page 177 undefined on input line 11786. LaTeX Warning: Hyper reference `cmd/write_functional_smt2:cmd-write_functional_ -smt2' on page 177 undefined on input line 11800. +smt2' on page 177 undefined on input line 11805. [177] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m -inimal-backend' on page 178 undefined on input line 11859. +inimal-backend' on page 178 undefined on input line 11864. LaTeX Warning: Hyper reference `cmd/write_functional_smt2:cmd-write_functional_ -smt2' on page 178 undefined on input line 11899. +smt2' on page 178 undefined on input line 11904. [178] LaTeX Warning: Hyper reference `cmd/write_functional_smt2:cmd-write_functional_ -smt2' on page 179 undefined on input line 11929. +smt2' on page 179 undefined on input line 11934. LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m -inimal-backend' on page 179 undefined on input line 11954. +inimal-backend' on page 179 undefined on input line 11959. LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:s -ort' on page 179 undefined on input line 11957. +ort' on page 179 undefined on input line 11962. LaTeX Warning: Hyper reference `cmd/write_functional_smt2:cmd-write_functional_ -smt2' on page 179 undefined on input line 11958. +smt2' on page 179 undefined on input line 11963. [179] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:s -cope' on page 180 undefined on input line 11994. +cope' on page 180 undefined on input line 11999. LaTeX Warning: Hyper reference `cmd/write_functional_rosette:cmd-write_function -al_rosette' on page 180 undefined on input line 12009. +al_rosette' on page 180 undefined on input line 12014. -Underfull \vbox (badness 1072) detected at line 12030 +Underfull \vbox (badness 1072) detected at line 12035 [180] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m -inimal-backend' on page 181 undefined on input line 12065. +inimal-backend' on page 181 undefined on input line 12070. [181] [182] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m -inimal-backend' on page 183 undefined on input line 12129. +inimal-backend' on page 183 undefined on input line 12134. [183] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m -inimal-backend' on page 184 undefined on input line 12195. +inimal-backend' on page 184 undefined on input line 12200. -Underfull \hbox (badness 10000) in paragraph at lines 12201--12201 +Underfull \hbox (badness 10000) in paragraph at lines 12206--12206 []\T1/lmr/m/n/10 Listing 4.22: |[]iterating over Func-tion-alIR nodes in [184] -Underfull \hbox (badness 10000) in paragraph at lines 12226--12226 +Underfull \hbox (badness 10000) in paragraph at lines 12231--12231 []\T1/lmr/m/n/10 Listing 4.24: |[]diff of out-put/next state han-dling [185] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m -inimal-backend' on page 186 undefined on input line 12279. +inimal-backend' on page 186 undefined on input line 12284. LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m -odule' on page 186 undefined on input line 12279. +odule' on page 186 undefined on input line 12284. LaTeX Warning: Hyper reference `cmd/write_functional_rosette:cmd-write_function -al_rosette' on page 186 undefined on input line 12309. +al_rosette' on page 186 undefined on input line 12314. [186] LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 187 undefined -on input line 12409. +on input line 12414. LaTeX Warning: Hyper reference `cell/word_binary:binary._or' on page 187 undefi -ned on input line 12411. +ned on input line 12416. [187] -Underfull \vbox (badness 4001) detected at line 12501 +Underfull \vbox (badness 4001) detected at line 12506 [188] [189 <./red_or3x1.pdf>] [190 <./sym_mul.pdf>] LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 191 undefined on inp -ut line 12634. +ut line 12639. LaTeX Warning: Hyper reference `cmd/freduce:cmd-freduce' on page 191 undefined -on input line 12638. +on input line 12643. LaTeX Warning: Hyper reference `cmd/shell:cmd-shell' on page 191 undefined on i -nput line 12654. +nput line 12659. LaTeX Warning: Hyper reference `cmd/dump:cmd-dump' on page 191 undefined on inp -ut line 12654. +ut line 12659. [191 <./mymul.pdf>] [192] [193 <./mulshift.pdf>] -Underfull \hbox (badness 5490) in paragraph at lines 12814--12817 +Underfull \hbox (badness 5490) in paragraph at lines 12819--12822 []\T1/lmr/m/n/10 Each bit of the port cor-re-lates to an \T1/lmtt/m/n/10 _TECHM AP_BITS_CONNMAP_ \T1/lmr/m/n/10 bits wide num-ber in -Underfull \hbox (badness 10000) in paragraph at lines 12819--12822 +Underfull \hbox (badness 10000) in paragraph at lines 12824--12827 []\T1/lmr/m/n/10 Each unique sig-nal bit is as-signed its own num-ber. Iden-ti- cal fields in the -Overfull \vbox (0.52754pt too high) detected at line 12874 +Overfull \vbox (0.52754pt too high) detected at line 12879 [194] [195 <./addshift.pdf>] LaTeX Warning: Hyper reference `yosys_internals/flow/verilog_frontend::doc' on -page 196 undefined on input line 12933. +page 196 undefined on input line 12938. LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 196 -undefined on input line 13030. +undefined on input line 13035. [196] LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 197 -undefined on input line 13036. +undefined on input line 13041. LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 197 -undefined on input line 13047. +undefined on input line 13052. LaTeX Warning: Hyper reference `cmd/flatten:cmd-flatten' on page 197 undefined -on input line 13072. +on input line 13077. LaTeX Warning: Hyper reference `cmd/keep_hierarchy:cmd-keep_hierarchy' on page -197 undefined on input line 13079. +197 undefined on input line 13084. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 197 undefi -ned on input line 13091. +ned on input line 13096. LaTeX Warning: Hyper reference `cmd/flatten:cmd-flatten' on page 197 undefined -on input line 13092. +on input line 13097. LaTeX Warning: Hyper reference `cmd/flatten:cmd-flatten' on page 197 undefined -on input line 13118. +on input line 13123. LaTeX Warning: Hyper reference `cmd/clkbufmap:cmd-clkbufmap' on page 197 undefi -ned on input line 13123. +ned on input line 13128. LaTeX Warning: Hyper reference `cmd/clkbufmap:cmd-clkbufmap' on page 197 undefi -ned on input line 13129. +ned on input line 13134. LaTeX Warning: Hyper reference `cmd/clkbufmap:cmd-clkbufmap' on page 197 undefi -ned on input line 13134. +ned on input line 13139. [197] LaTeX Warning: Hyper reference `cmd/clkbufmap:cmd-clkbufmap' on page 198 undefi -ned on input line 13142. +ned on input line 13147. LaTeX Warning: Hyper reference `cmd/clkbufmap:cmd-clkbufmap' on page 198 undefi -ned on input line 13143. +ned on input line 13148. LaTeX Warning: Hyper reference `cmd/iopadmap:cmd-iopadmap' on page 198 undefine -d on input line 13156. +d on input line 13161. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 198 undefined on inp -ut line 13161. +ut line 13166. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 198 undefined on inp -ut line 13169. +ut line 13174. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 198 undefined on inp -ut line 13175. +ut line 13180. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 198 undefined on inp -ut line 13181. +ut line 13186. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 198 undefi -ned on input line 13195. +ned on input line 13200. [198] LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 199 undefi -ned on input line 13325. +ned on input line 13330. [199] LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 200 -undefined on input line 13353. +undefined on input line 13358. LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 200 -undefined on input line 13405. +undefined on input line 13410. LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 200 -undefined on input line 13437. +undefined on input line 13442. [200] @@ -46757,11 +46793,11 @@ Chapter 5. LaTeX Warning: Hyper reference `appendix/primer:fig-basics-abstractions' on pag -e 205 undefined on input line 13738. +e 205 undefined on input line 13743. LaTeX Warning: Reference `appendix/primer:fig-basics-abstractions' on page 205 -undefined on input line 13738. +undefined on input line 13743. [205 <./basics_abstractions.pdf>] @@ -46773,47 +46809,47 @@ [211] LaTeX Warning: Hyper reference `appendix/primer:fig-basics-flow' on page 212 un -defined on input line 14304. +defined on input line 14309. LaTeX Warning: Reference `appendix/primer:fig-basics-flow' on page 212 undefine -d on input line 14304. +d on input line 14309. [212 <./basics_flow.pdf>] LaTeX Warning: Hyper reference `appendix/primer:tab-basics-tokens' on page 213 -undefined on input line 14373. +undefined on input line 14378. LaTeX Warning: Reference `appendix/primer:tab-basics-tokens' on page 213 undefi -ned on input line 14373. +ned on input line 14378. LaTeX Warning: Hyper reference `appendix/primer:fig-basics-parsetree' on page 2 -13 undefined on input line 14487. +13 undefined on input line 14492. LaTeX Warning: Reference `appendix/primer:fig-basics-parsetree' on page 213 und -efined on input line 14487. +efined on input line 14492. [213] LaTeX Warning: Hyper reference `appendix/primer:fig-basics-ast' on page 214 und -efined on input line 14502. +efined on input line 14507. LaTeX Warning: Reference `appendix/primer:fig-basics-ast' on page 214 undefined - on input line 14502. + on input line 14507. LaTeX Warning: Hyper reference `appendix/primer:fig-basics-parsetree' on page 2 -14 undefined on input line 14503. +14 undefined on input line 14508. LaTeX Warning: Reference `appendix/primer:fig-basics-parsetree' on page 214 und -efined on input line 14503. +efined on input line 14508. [214 <./basics_parsetree.pdf> <./basics_ast.pdf>] @@ -46825,29 +46861,29 @@ [218] LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep:sec-rtlil-sig -spec' on page 219 undefined on input line 14897. +spec' on page 219 undefined on input line 14902. LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep:sec-rtlil-cel -l-wire' on page 219 undefined on input line 14924. +l-wire' on page 219 undefined on input line 14929. [219] LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep:sec-rtlil-mem -ory' on page 220 undefined on input line 14947. +ory' on page 220 undefined on input line 14952. LaTeX Warning: Hyper reference `cell/word_mem:sec-memcells' on page 220 undefin -ed on input line 14948. +ed on input line 14953. LaTeX Warning: Hyper reference `cell_index::doc' on page 220 undefined on input - line 14966. + line 14971. LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep:sec-rtlil-pro -cess' on page 220 undefined on input line 14988. +cess' on page 220 undefined on input line 14993. [220] @@ -46856,966 +46892,964 @@ Chapter 7. LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 223 undefined on input - line 15095. + line 15100. LaTeX Warning: Hyper reference `cmd/sat::doc' on page 223 undefined on input li -ne 15096. +ne 15101. LaTeX Warning: Hyper reference `cmd/sim:cmd-sim' on page 223 undefined on input - line 15104. + line 15109. LaTeX Warning: Hyper reference `cmd/read_json:cmd-read_json' on page 223 undefi -ned on input line 15110. +ned on input line 15115. LaTeX Warning: Hyper reference `cmd/write_json:cmd-write_json' on page 223 unde -fined on input line 15111. +fined on input line 15116. LaTeX Warning: Hyper reference `cmd/stat:cmd-stat' on page 223 undefined on inp -ut line 15121. +ut line 15126. LaTeX Warning: Hyper reference `cmd/connect_rpc:cmd-connect_rpc' on page 223 un -defined on input line 15122. +defined on input line 15127. [223] LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 224 undefined on input - line 15130. + line 15135. LaTeX Warning: Hyper reference `cmd/extract::doc' on page 224 undefined on inpu -t line 15147. +t line 15152. [224] Chapter 8. LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions::doc -' on page 225 undefined on input line 15164. +' on page 225 undefined on input line 15169. -Overfull \vbox (1.34746pt too high) detected at line 15204 +Overfull \vbox (1.34746pt too high) detected at line 15214 [225] [226] -Underfull \vbox (badness 10000) detected at line 15439 - -Overfull \vbox (0.56999pt too high) detected at line 15439 +Underfull \vbox (badness 4954) detected at line 15449 [227] -Underfull \vbox (badness 10000) detected at line 15439 +Underfull \vbox (badness 10000) detected at line 15449 -Overfull \vbox (0.56999pt too high) detected at line 15439 +Overfull \vbox (0.56999pt too high) detected at line 15449 [228] -Underfull \vbox (badness 10000) detected at line 15439 +Underfull \vbox (badness 10000) detected at line 15449 -Overfull \vbox (0.56999pt too high) detected at line 15439 +Overfull \vbox (0.56999pt too high) detected at line 15449 [229] [230] +[231] +[232] Chapter 9. -LaTeX Warning: Hyper reference `cell/word_unary:unary._not' on page 231 undefin -ed on input line 15632. - - -LaTeX Warning: Hyper reference `cell/word_unary:unary._pos' on page 231 undefin -ed on input line 15632. - - -LaTeX Warning: Hyper reference `cell/word_unary:unary._neg' on page 231 undefin -ed on input line 15632. - - -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 231 -undefined on input line 15632. - - -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 231 u -ndefined on input line 15632. - - -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xor' on page 231 -undefined on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._not' on page 233 undefin +ed on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xnor' on page 231 - undefined on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._pos' on page 233 undefin +ed on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 231 - undefined on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._neg' on page 233 undefin +ed on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._logic_not' on page 231 u -ndefined on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 233 +undefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._not' on page 231 undefin -ed on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 233 u +ndefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._pos' on page 231 undefin -ed on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xor' on page 233 +undefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._neg' on page 231 undefin -ed on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xnor' on page 233 + undefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 231 -undefined on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 233 + undefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 231 u -ndefined on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._logic_not' on page 233 u +ndefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xor' on page 231 -undefined on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._not' on page 233 undefin +ed on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xnor' on page 231 - undefined on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._pos' on page 233 undefin +ed on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 231 - undefined on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._neg' on page 233 undefin +ed on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._logic_not' on page 231 u -ndefined on input line 15632. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 233 +undefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 231 -undefined on input line 15637. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 233 u +ndefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 231 u -ndefined on input line 15637. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xor' on page 233 +undefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xor' on page 231 -undefined on input line 15638. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xnor' on page 233 + undefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xnor' on page 231 - undefined on input line 15638. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 233 + undefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 231 - undefined on input line 15638. +LaTeX Warning: Hyper reference `cell/word_unary:unary._logic_not' on page 233 u +ndefined on input line 15642. -LaTeX Warning: Hyper reference `cell/word_unary:unary._logic_not' on page 231 u -ndefined on input line 15638. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 233 +undefined on input line 15647. -[231] +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 233 u +ndefined on input line 15647. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 232 u -ndefined on input line 15643. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xor' on page 233 +undefined on input line 15648. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 232 - undefined on input line 15643. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xnor' on page 233 + undefined on input line 15648. -LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 232 -undefined on input line 15644. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 233 + undefined on input line 15648. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 232 u -ndefined on input line 15645. +LaTeX Warning: Hyper reference `cell/word_unary:unary._logic_not' on page 233 u +ndefined on input line 15648. -LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 232 - undefined on input line 15646. +[233] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 232 undef -ined on input line 15673. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 234 u +ndefined on input line 15653. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 232 undef -ined on input line 15716. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 234 + undefined on input line 15653. -[232] +LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 234 +undefined on input line 15654. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 233 undef -ined on input line 15767. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 234 u +ndefined on input line 15655. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 233 undef -ined on input line 15821. +LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 234 + undefined on input line 15656. -[233] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 234 undef -ined on input line 15872. +ined on input line 15683. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 234 undef -ined on input line 15923. +ined on input line 15726. [234] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 235 undef -ined on input line 15975. +ined on input line 15777. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 235 undef -ined on input line 16026. +ined on input line 15831. [235] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 236 undef -ined on input line 16077. +ined on input line 15882. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 236 undef -ined on input line 16128. +ined on input line 15933. [236] -LaTeX Warning: Hyper reference `cell/word_binary:binary._and' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 237 undef +ined on input line 15985. -LaTeX Warning: Hyper reference `cell/word_binary:binary._pow' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 237 undef +ined on input line 16036. -LaTeX Warning: Hyper reference `cell/word_binary:binary._or' on page 237 undefi -ned on input line 16455. - - -LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 237 undefi -ned on input line 16455. +[237] +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 238 undef +ined on input line 16087. -LaTeX Warning: Hyper reference `cell/word_binary:binary._xor' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 238 undef +ined on input line 16138. -LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 237 undefi -ned on input line 16455. +[238] -LaTeX Warning: Hyper reference `cell/word_binary:binary._xnor' on page 237 unde -fined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._and' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 237 undefi -ned on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._pow' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._or' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 237 undefi -ned on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shr' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._xor' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 237 undefi -ned on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 237 unde -fined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._xnor' on page 239 unde +fined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 237 undefi -ned on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._sshr' on page 237 unde -fined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_and' on page 237 - undefined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shr' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._sub' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_or' on page 237 -undefined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 239 unde +fined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._mul' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._sshr' on page 239 unde +fined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_and' on page 239 + undefined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._mod' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._sub' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 237 und -efined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_or' on page 239 +undefined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._divfloor' on page 237 -undefined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._mul' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 237 un -defined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._modfloor' on page 237 -undefined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._and' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._pow' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._mod' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._or' on page 237 undefi -ned on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 239 und +efined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 237 undefi -ned on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._divfloor' on page 239 +undefined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._xor' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 239 un +defined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 237 undefi -ned on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._modfloor' on page 239 +undefined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._xnor' on page 237 unde -fined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._and' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 237 undefi -ned on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._pow' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._or' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 237 undefi -ned on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shr' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._xor' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 237 undefi -ned on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 237 unde -fined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._xnor' on page 239 unde +fined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 237 undefi -ned on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._sshr' on page 237 unde -fined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_and' on page 237 - undefined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shr' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._sub' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_or' on page 237 -undefined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 239 unde +fined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._mul' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 239 undefi +ned on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._sshr' on page 239 unde +fined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_and' on page 239 + undefined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._mod' on page 237 undef -ined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._sub' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 237 und -efined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_or' on page 239 +undefined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._divfloor' on page 237 -undefined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._mul' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 237 un -defined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._modfloor' on page 237 -undefined on input line 16455. +LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 239 undef +ined on input line 16465. -[237] +LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 238 undef -ined on input line 16460. +LaTeX Warning: Hyper reference `cell/word_binary:binary._mod' on page 239 undef +ined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shr' on page 238 undef -ined on input line 16460. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 239 und +efined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 238 unde -fined on input line 16460. +LaTeX Warning: Hyper reference `cell/word_binary:binary._divfloor' on page 239 +undefined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._sshr' on page 238 unde -fined on input line 16461. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 239 un +defined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 238 undef -ined on input line 16461. +LaTeX Warning: Hyper reference `cell/word_binary:binary._modfloor' on page 239 +undefined on input line 16465. -LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 238 unde -fined on input line 16461. +[239] -LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 238 und -efined on input line 16467. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 240 undef +ined on input line 16470. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 238 un -defined on input line 16467. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shr' on page 240 undef +ined on input line 16470. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 238 und -efined on input line 16467. +LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 240 unde +fined on input line 16470. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 238 un -defined on input line 16469. +LaTeX Warning: Hyper reference `cell/word_binary:binary._sshr' on page 240 unde +fined on input line 16471. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 238 und -efined on input line 16470. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 240 undef +ined on input line 16471. -LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_and' on page 238 - undefined on input line 16474. +LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 240 unde +fined on input line 16471. -LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_or' on page 238 -undefined on input line 16474. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 240 und +efined on input line 16477. -LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 238 undef -ined on input line 16475. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 240 un +defined on input line 16477. -LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 238 undef -ined on input line 16475. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 240 und +efined on input line 16477. -LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 238 undefi -ned on input line 16475. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 240 un +defined on input line 16479. -LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 238 undefi -ned on input line 16475. +LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 240 und +efined on input line 16480. -LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 238 undefi -ned on input line 16475. +LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_and' on page 240 + undefined on input line 16484. -LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 238 undefi -ned on input line 16475. +LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_or' on page 240 +undefined on input line 16484. -LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 238 undefi -ned on input line 16475. +LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 240 undef +ined on input line 16485. -LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 238 undefi -ned on input line 16475. +LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 240 undef +ined on input line 16485. -LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 238 undef -ined on input line 16481. +LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 240 undefi +ned on input line 16485. -LaTeX Warning: Hyper reference `cell/word_binary:binary._mod' on page 238 undef -ined on input line 16481. +LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 240 undefi +ned on input line 16485. -LaTeX Warning: Hyper reference `cell/word_binary:binary._divfloor' on page 238 -undefined on input line 16482. +LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 240 undefi +ned on input line 16485. -LaTeX Warning: Hyper reference `cell/word_binary:binary._modfloor' on page 238 -undefined on input line 16483. +LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 240 undefi +ned on input line 16485. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 238 undef -ined on input line 16635. +LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 240 undefi +ned on input line 16485. -[238] +LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 240 undefi +ned on input line 16485. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 239 undef -ined on input line 16689. +LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 240 undef +ined on input line 16491. -[239] -LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 240 undef -ined on input line 16742. +LaTeX Warning: Hyper reference `cell/word_binary:binary._mod' on page 240 undef +ined on input line 16491. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 240 undef -ined on input line 16747. +LaTeX Warning: Hyper reference `cell/word_binary:binary._divfloor' on page 240 +undefined on input line 16492. -LaTeX Warning: Hyper reference `cell/properties:x-aware' on page 240 undefined -on input line 16751. +LaTeX Warning: Hyper reference `cell/word_binary:binary._modfloor' on page 240 +undefined on input line 16493. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 240 undef -ined on input line 16806. - - -LaTeX Warning: Hyper reference `cell/properties:x-output' on page 240 undefined - on input line 16810. +ined on input line 16645. [240] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 241 undef -ined on input line 16866. +ined on input line 16699. [241] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 242 undef -ined on input line 16928. +LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 242 undef +ined on input line 16752. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 242 undef -ined on input line 16990. +ined on input line 16757. LaTeX Warning: Hyper reference `cell/properties:x-aware' on page 242 undefined -on input line 16994. +on input line 16761. + + +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 242 undef +ined on input line 16816. + + +LaTeX Warning: Hyper reference `cell/properties:x-output' on page 242 undefined + on input line 16820. [242] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 243 undef -ined on input line 17051. +ined on input line 16876. [243] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 244 undef -ined on input line 17106. +ined on input line 16938. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 244 undef -ined on input line 17161. +ined on input line 17000. -[244] +LaTeX Warning: Hyper reference `cell/properties:x-aware' on page 244 undefined +on input line 17004. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 245 undef -ined on input line 17215. +[244] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 245 undef -ined on input line 17269. +ined on input line 17061. [245] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 246 undef -ined on input line 17324. +ined on input line 17116. -[246] +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 246 undef +ined on input line 17171. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 247 undef -ined on input line 17386. +[246] -LaTeX Warning: Hyper reference `cell/properties:x-output' on page 247 undefined - on input line 17390. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 247 undef +ined on input line 17225. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 247 undef -ined on input line 17449. +ined on input line 17279. [247] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 248 undef -ined on input line 17512. +ined on input line 17334. [248] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 249 undef -ined on input line 17567. +ined on input line 17396. -LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 249 undef -ined on input line 17623. +LaTeX Warning: Hyper reference `cell/properties:x-output' on page 249 undefined + on input line 17400. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 249 undef -ined on input line 17628. - - -LaTeX Warning: Hyper reference `cell/properties:x-aware' on page 249 undefined -on input line 17632. +ined on input line 17459. [249] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 250 undef -ined on input line 17688. +ined on input line 17522. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 250 undef -ined on input line 17743. +[250] +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 251 undef +ined on input line 17577. + + +LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 251 undef +ined on input line 17633. -[250] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 251 undef -ined on input line 17805. +ined on input line 17638. -[251] +LaTeX Warning: Hyper reference `cell/properties:x-aware' on page 251 undefined +on input line 17642. -LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 252 und -efined on input line 17866. +[251] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 252 undef -ined on input line 17871. +ined on input line 17698. -LaTeX Warning: Hyper reference `cell/properties:x-output' on page 252 undefined - on input line 17875. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 252 undef +ined on input line 17753. [252] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 253 undef -ined on input line 17932. +ined on input line 17815. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 253 undef -ined on input line 17986. +[253] +LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 254 und +efined on input line 17876. -[253] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 254 undef -ined on input line 18041. +ined on input line 17881. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 254 undef -ined on input line 18096. +LaTeX Warning: Hyper reference `cell/properties:x-output' on page 254 undefined + on input line 17885. [254] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 255 undef -ined on input line 18151. +ined on input line 17942. + +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 255 undef +ined on input line 17996. -Overfull \vbox (2.36986pt too high) detected at line 18188 [255] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 256 undef -ined on input line 18205. +ined on input line 18051. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 256 undef -ined on input line 18259. +ined on input line 18106. [256] -LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 257 undefined o -n input line 18312. - +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 257 undef +ined on input line 18161. -LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 257 undefined o -n input line 18316. +Overfull \vbox (2.36986pt too high) detected at line 18198 -LaTeX Warning: Hyper reference `cell/word_mux:mux._pmux' on page 257 undefined -on input line 18322. +[257] +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 258 undef +ined on input line 18215. -LaTeX Warning: Hyper reference `cell/word_mux:mux._tribuf' on page 257 undefine -d on input line 18334. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 258 undef +ined on input line 18269. -LaTeX Warning: Hyper reference `cell/word_mux:mux._tribuf' on page 257 undefine -d on input line 18338. +[258] -LaTeX Warning: Hyper reference `cell/word_binary:binary._and' on page 257 undef -ined on input line 18349. +LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 259 undefined o +n input line 18322. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 257 undef -ined on input line 18377. +LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 259 undefined o +n input line 18326. -[257] +LaTeX Warning: Hyper reference `cell/word_mux:mux._pmux' on page 259 undefined +on input line 18332. -LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 258 undefined o -n input line 18433. +LaTeX Warning: Hyper reference `cell/word_mux:mux._tribuf' on page 259 undefine +d on input line 18344. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 258 undef -ined on input line 18437. +LaTeX Warning: Hyper reference `cell/word_mux:mux._tribuf' on page 259 undefine +d on input line 18348. -[258] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 259 undef -ined on input line 18487. +LaTeX Warning: Hyper reference `cell/word_binary:binary._and' on page 259 undef +ined on input line 18359. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 259 undef -ined on input line 18537. +ined on input line 18387. [259] +LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 260 undefined o +n input line 18443. + + LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 260 undef -ined on input line 18586. +ined on input line 18447. -LaTeX Warning: Hyper reference `cell/properties:x-output' on page 260 undefined - on input line 18590. +[260] +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 261 undef +ined on input line 18497. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 260 undef -ined on input line 18658. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 261 undef +ined on input line 18547. -[260] -LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 261 undefined on - input line 18696. +[261] +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 262 undef +ined on input line 18596. -LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 261 undefined on - input line 18718. +LaTeX Warning: Hyper reference `cell/properties:x-output' on page 262 undefined + on input line 18600. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 261 undefined o -n input line 18722. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 262 undef +ined on input line 18668. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 261 undefined o -n input line 18724. +[262] -LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 261 undefined -on input line 18738. +LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 263 undefined on + input line 18706. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 261 undefined o -n input line 18739. +LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 263 undefined on + input line 18728. -LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 261 undefined on inp -ut line 18755. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 263 undefined o +n input line 18732. -LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 261 undefined -on input line 18759. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 263 undefined o +n input line 18734. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 261 undefined o -n input line 18760. +LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 263 undefined +on input line 18748. -[261] +LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 263 undefined o +n input line 18749. -LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 262 undefined -on input line 18776. +LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 263 undefined on inp +ut line 18765. -LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 262 undefined -on input line 18776. +LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 263 undefined +on input line 18769. -LaTeX Warning: Hyper reference `cell/word_reg:reg._aldff' on page 262 undefined - on input line 18780. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 263 undefined o +n input line 18770. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 262 undefined o -n input line 18781. +[263] -LaTeX Warning: Hyper reference `cell/word_reg:reg._dffsr' on page 262 undefined - on input line 18794. +LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 264 undefined +on input line 18786. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 262 undefined o -n input line 18795. +LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 264 undefined +on input line 18786. -LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 262 undefined on - input line 18797. +LaTeX Warning: Hyper reference `cell/word_reg:reg._aldff' on page 264 undefined + on input line 18790. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dffe' on page 262 undefined -on input line 18800. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 264 undefined o +n input line 18791. -LaTeX Warning: Hyper reference `cell/word_reg:reg._adffe' on page 262 undefined - on input line 18800. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dffsr' on page 264 undefined + on input line 18804. -LaTeX Warning: Hyper reference `cell/word_reg:reg._aldffe' on page 262 undefine -d on input line 18800. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 264 undefined o +n input line 18805. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dffsre' on page 262 undefine -d on input line 18801. +LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 264 undefined on + input line 18807. -LaTeX Warning: Hyper reference `cell/word_reg:reg._sdffe' on page 262 undefined - on input line 18801. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dffe' on page 264 undefined +on input line 18810. -LaTeX Warning: Hyper reference `cell/word_reg:reg._sdffce' on page 262 undefine -d on input line 18801. +LaTeX Warning: Hyper reference `cell/word_reg:reg._adffe' on page 264 undefined + on input line 18810. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 262 undefined o -n input line 18801. +LaTeX Warning: Hyper reference `cell/word_reg:reg._aldffe' on page 264 undefine +d on input line 18810. -LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 262 undefined -on input line 18802. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dffsre' on page 264 undefine +d on input line 18811. -LaTeX Warning: Hyper reference `cell/word_reg:reg._aldff' on page 262 undefined - on input line 18802. +LaTeX Warning: Hyper reference `cell/word_reg:reg._sdffe' on page 264 undefined + on input line 18811. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dffsr' on page 262 undefined - on input line 18802. +LaTeX Warning: Hyper reference `cell/word_reg:reg._sdffce' on page 264 undefine +d on input line 18811. -LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 262 undefined -on input line 18802. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 264 undefined o +n input line 18811. -LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 262 undefined -on input line 18802. +LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 264 undefined +on input line 18812. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 262 undefine -d on input line 18815. +LaTeX Warning: Hyper reference `cell/word_reg:reg._aldff' on page 264 undefined + on input line 18812. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 262 undefine -d on input line 18817. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dffsr' on page 264 undefined + on input line 18812. -LaTeX Warning: Hyper reference `cell/word_reg:reg._adlatch' on page 262 undefin -ed on input line 18834. +LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 264 undefined +on input line 18812. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 262 undefine -d on input line 18835. +LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 264 undefined +on input line 18812. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatchsr' on page 262 undefi -ned on input line 18850. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 264 undefine +d on input line 18825. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 262 undefine -d on input line 18851. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 264 undefine +d on input line 18827. -LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 262 undefined on - input line 18852. +LaTeX Warning: Hyper reference `cell/word_reg:reg._adlatch' on page 264 undefin +ed on input line 18844. + + +LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 264 undefine +d on input line 18845. + + +LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatchsr' on page 264 undefi +ned on input line 18860. + + +LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 264 undefine +d on input line 18861. + + +LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 264 undefined on + input line 18862. -[262] -[263] [264] [265] [266] @@ -47823,1560 +47857,1560 @@ [268] [269] [270] +[271] +[272] -LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 271 undefi -ned on input line 19560. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 273 undefi +ned on input line 19570. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 271 undefi -ned on input line 19561. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 273 undefi +ned on input line 19571. -LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 271 unde -fined on input line 19561. +LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 273 unde +fined on input line 19571. -LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 271 undefine -d on input line 19561. +LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 273 undefine +d on input line 19571. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 271 undefi -ned on input line 19566. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 273 undefi +ned on input line 19576. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 271 undefi -ned on input line 19566. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 273 undefi +ned on input line 19576. -LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 271 unde -fined on input line 19570. +LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 273 unde +fined on input line 19580. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 271 undefi -ned on input line 19575. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 273 undefi +ned on input line 19585. -[271] +[273] -LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 272 undefi -ned on input line 19647. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 274 undefi +ned on input line 19657. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 272 undefi -ned on input line 19663. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 274 undefi +ned on input line 19673. -LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 272 unde -fined on input line 19694. +LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 274 unde +fined on input line 19704. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 272 undefi -ned on input line 19726. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 274 undefi +ned on input line 19736. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 272 undefi -ned on input line 19726. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 274 undefi +ned on input line 19736. -LaTeX Warning: Hyper reference `cmd/memory:cmd-memory' on page 272 undefined on - input line 19726. +LaTeX Warning: Hyper reference `cmd/memory:cmd-memory' on page 274 undefined on + input line 19736. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 272 undefined o -n input line 19727. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 274 undefined o +n input line 19737. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 272 undefi -ned on input line 19727. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 274 undefi +ned on input line 19737. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 272 undefi -ned on input line 19727. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 274 undefi +ned on input line 19737. -LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 272 undefine -d on input line 19728. +LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 274 undefine +d on input line 19738. -LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 272 undefined o -n input line 19729. +LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 274 undefined o +n input line 19739. -LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 272 undefine -d on input line 19731. +LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 274 undefine +d on input line 19741. -[272] +[274] -LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 273 undefine -d on input line 19734. +LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 275 undefine +d on input line 19744. -LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 273 undefine -d on input line 19738. +LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 275 undefine +d on input line 19748. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 273 undefi -ned on input line 19782. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 275 undefi +ned on input line 19792. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 273 undefi -ned on input line 19787. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 275 undefi +ned on input line 19797. -[273] +[275] -LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 274 undefi -ned on input line 19831. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 276 undefi +ned on input line 19841. -LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 274 undefine -d on input line 19836. +LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 276 undefine +d on input line 19846. LaTeX Warning: Hyper reference `cmd/memory_collect:cmd-memory_collect' on page -274 undefined on input line 19891. +276 undefined on input line 19901. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 274 undefi -ned on input line 19891. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 276 undefi +ned on input line 19901. -LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 274 undefi -ned on input line 19892. +LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 276 undefi +ned on input line 19902. -LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 274 unde -fined on input line 19892. +LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 276 unde +fined on input line 19902. -LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 274 undefine -d on input line 19893. +LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 276 undefine +d on input line 19903. LaTeX Warning: Hyper reference `cmd/memory_unpack:cmd-memory_unpack' on page 27 -4 undefined on input line 19893. - - -LaTeX Warning: Hyper reference `cmd/memory_dff:cmd-memory_dff' on page 274 unde -fined on input line 19894. +6 undefined on input line 19903. -LaTeX Warning: Hyper reference `cmd/memory_bram:cmd-memory_bram' on page 274 un -defined on input line 19895. +LaTeX Warning: Hyper reference `cmd/memory_dff:cmd-memory_dff' on page 276 unde +fined on input line 19904. -LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 274 undefine -d on input line 19896. +LaTeX Warning: Hyper reference `cmd/memory_bram:cmd-memory_bram' on page 276 un +defined on input line 19905. -LaTeX Warning: Hyper reference `cmd/memory_map:cmd-memory_map' on page 274 unde -fined on input line 19897. +LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 276 undefine +d on input line 19906. -LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 274 undefine -d on input line 19897. +LaTeX Warning: Hyper reference `cmd/memory_map:cmd-memory_map' on page 276 unde +fined on input line 19907. -[274] -Underfull \vbox (badness 10000) detected at line 20015 +LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 276 undefine +d on input line 19907. -Overfull \vbox (2.76991pt too high) detected at line 20015 -[275] [276] -Underfull \vbox (badness 10000) detected at line 20154 +Underfull \vbox (badness 10000) detected at line 20025 -Overfull \vbox (2.76991pt too high) detected at line 20154 +Overfull \vbox (2.76991pt too high) detected at line 20025 [277] -Underfull \vbox (badness 10000) detected at line 20154 +[278] +Underfull \vbox (badness 10000) detected at line 20164 -Overfull \vbox (0.56999pt too high) detected at line 20154 +Overfull \vbox (2.76991pt too high) detected at line 20164 -[278] [279] +Underfull \vbox (badness 10000) detected at line 20164 + +Overfull \vbox (0.56999pt too high) detected at line 20164 + [280] [281] [282] - -LaTeX Warning: Hyper reference `cell/word_fsm:fsm._fsm' on page 283 undefined o -n input line 20447. - - [283] -Underfull \vbox (badness 10000) detected at line 20569 - -Overfull \vbox (0.56999pt too high) detected at line 20569 - [284] -LaTeX Warning: Hyper reference `cell/word_arith:arith._alu' on page 285 undefin -ed on input line 20581. - +LaTeX Warning: Hyper reference `cell/word_fsm:fsm._fsm' on page 285 undefined o +n input line 20457. -LaTeX Warning: Hyper reference `cell/word_arith:arith._fa' on page 285 undefine -d on input line 20581. +[285] +Underfull \vbox (badness 10000) detected at line 20579 -LaTeX Warning: Hyper reference `cell/word_arith:arith._lcu' on page 285 undefin -ed on input line 20581. - +Overfull \vbox (0.56999pt too high) detected at line 20579 -LaTeX Warning: Hyper reference `cell/word_arith:arith._macc' on page 285 undefi -ned on input line 20585. +[286] +LaTeX Warning: Hyper reference `cell/word_arith:arith._alu' on page 287 undefin +ed on input line 20591. -LaTeX Warning: Hyper reference `cmd/alumacc:cmd-alumacc' on page 285 undefined -on input line 20653. +LaTeX Warning: Hyper reference `cell/word_arith:arith._fa' on page 287 undefine +d on input line 20591. -LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 285 undef -ined on input line 20654. +LaTeX Warning: Hyper reference `cell/word_arith:arith._lcu' on page 287 undefin +ed on input line 20591. -LaTeX Warning: Hyper reference `cell/word_binary:binary._sub' on page 285 undef -ined on input line 20654. +LaTeX Warning: Hyper reference `cell/word_arith:arith._macc' on page 287 undefi +ned on input line 20595. -LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 285 undefi -ned on input line 20654. +LaTeX Warning: Hyper reference `cmd/alumacc:cmd-alumacc' on page 287 undefined +on input line 20663. -LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 285 undefi -ned on input line 20654. +LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 287 undef +ined on input line 20664. -LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 285 undefi -ned on input line 20654. +LaTeX Warning: Hyper reference `cell/word_binary:binary._sub' on page 287 undef +ined on input line 20664. -LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 285 undefi -ned on input line 20654. +LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 287 undefi +ned on input line 20664. -LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 285 undefi -ned on input line 20654. +LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 287 undefi +ned on input line 20664. -LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 285 undef -ined on input line 20654. +LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 287 undefi +ned on input line 20664. -LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 285 undefi -ned on input line 20654. +LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 287 undefi +ned on input line 20664. -LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 285 undef -ined on input line 20654. +LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 287 undefi +ned on input line 20664. -LaTeX Warning: Hyper reference `cell/word_arith:arith._alu' on page 285 undefin -ed on input line 20655. +LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 287 undef +ined on input line 20664. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 285 undef -ined on input line 20659. +LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 287 undefi +ned on input line 20664. -[285] -[286] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 287 undef -ined on input line 20739. +LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 287 undef +ined on input line 20664. -LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 287 undefined -on input line 20786. +LaTeX Warning: Hyper reference `cell/word_arith:arith._alu' on page 287 undefin +ed on input line 20665. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 287 undef -ined on input line 20791. +ined on input line 20669. [287] +[288] -LaTeX Warning: Hyper reference `cmd/alumacc:cmd-alumacc' on page 288 undefined -on input line 20847. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 289 undef +ined on input line 20749. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 288 undef -ined on input line 20852. +LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 289 undefined +on input line 20796. -[288] -Underfull \vbox (badness 10000) detected at line 21004 +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 289 undef +ined on input line 20801. -Overfull \vbox (0.56999pt too high) detected at line 21004 [289] -Underfull \vbox (badness 10000) detected at line 21004 - -Overfull \vbox (0.56999pt too high) detected at line 21004 - -[290] - -LaTeX Warning: Hyper reference `cell/word_logic:logic._lut' on page 291 undefin -ed on input line 21015. +LaTeX Warning: Hyper reference `cmd/alumacc:cmd-alumacc' on page 290 undefined +on input line 20857. -LaTeX Warning: Hyper reference `cell/word_logic:logic._lut' on page 291 undefin -ed on input line 21019. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 290 undef +ined on input line 20862. -LaTeX Warning: Hyper reference `cell/word_logic:logic._sop' on page 291 undefin -ed on input line 21023. +[290] +Underfull \vbox (badness 10000) detected at line 21014 -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 291 undef -ined on input line 21078. - +Overfull \vbox (0.56999pt too high) detected at line 21014 [291] +Underfull \vbox (badness 10000) detected at line 21014 -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 292 undef -ined on input line 21119. +Overfull \vbox (0.56999pt too high) detected at line 21014 +[292] -LaTeX Warning: Hyper reference `cell/word_spec:spec._specify2' on page 292 unde -fined on input line 21172. - +LaTeX Warning: Hyper reference `cell/word_logic:logic._lut' on page 293 undefin +ed on input line 21025. -LaTeX Warning: Hyper reference `cell/word_spec:spec._specify3' on page 292 unde -fined on input line 21172. +LaTeX Warning: Hyper reference `cell/word_logic:logic._lut' on page 293 undefin +ed on input line 21029. -LaTeX Warning: Hyper reference `cell/word_spec:spec._specrule' on page 292 unde -fined on input line 21172. +LaTeX Warning: Hyper reference `cell/word_logic:logic._sop' on page 293 undefin +ed on input line 21033. -[292] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 293 undef -ined on input line 21194. +ined on input line 21088. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 293 undef -ined on input line 21259. +[293] +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 294 undef +ined on input line 21129. -[293] -Underfull \vbox (badness 10000) detected at line 21380 -[294] -Underfull \vbox (badness 10000) detected at line 21380 +LaTeX Warning: Hyper reference `cell/word_spec:spec._specify2' on page 294 unde +fined on input line 21182. -Overfull \vbox (0.56999pt too high) detected at line 21380 -[295] -Underfull \vbox (badness 10000) detected at line 21380 +LaTeX Warning: Hyper reference `cell/word_spec:spec._specify3' on page 294 unde +fined on input line 21182. -Overfull \vbox (0.56999pt too high) detected at line 21380 -[296] +LaTeX Warning: Hyper reference `cell/word_spec:spec._specrule' on page 294 unde +fined on input line 21182. + -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 297 undef -ined on input line 21394. +[294] +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 295 undef +ined on input line 21204. -LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 297 undef -ined on input line 21448. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 295 undef +ined on input line 21269. -LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 297 undef -ined on input line 21451. +[295] +Underfull \vbox (badness 10000) detected at line 21390 -LaTeX Warning: Hyper reference `cmd/chformal:cmd-chformal' on page 297 undefine -d on input line 21452. +[296] +Underfull \vbox (badness 10000) detected at line 21390 +Overfull \vbox (0.56999pt too high) detected at line 21390 [297] +Underfull \vbox (badness 10000) detected at line 21390 + +Overfull \vbox (0.56999pt too high) detected at line 21390 -LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 298 undef -ined on input line 21460. +[298] +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 299 undef +ined on input line 21404. -LaTeX Warning: Hyper reference `cell/word_formal:formal._assert' on page 298 un -defined on input line 21460. +LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 299 undef +ined on input line 21458. -LaTeX Warning: Hyper reference `cell/word_formal:formal._assume' on page 298 un -defined on input line 21460. +LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 299 undef +ined on input line 21461. -LaTeX Warning: Hyper reference `cell/word_formal:formal._live' on page 298 unde -fined on input line 21460. +LaTeX Warning: Hyper reference `cmd/chformal:cmd-chformal' on page 299 undefine +d on input line 21462. -LaTeX Warning: Hyper reference `cell/word_formal:formal._fair' on page 298 unde -fined on input line 21460. +[299] -LaTeX Warning: Hyper reference `cell/word_formal:formal._cover' on page 298 und -efined on input line 21460. +LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 300 undef +ined on input line 21470. -LaTeX Warning: Hyper reference `cell/word_formal:formal._equiv' on page 298 und -efined on input line 21460. +LaTeX Warning: Hyper reference `cell/word_formal:formal._assert' on page 300 un +defined on input line 21470. -LaTeX Warning: Hyper reference `cell/word_formal:formal._initstate' on page 298 - undefined on input line 21461. +LaTeX Warning: Hyper reference `cell/word_formal:formal._assume' on page 300 un +defined on input line 21470. -LaTeX Warning: Hyper reference `cell/word_formal:formal._anyconst' on page 298 -undefined on input line 21461. +LaTeX Warning: Hyper reference `cell/word_formal:formal._live' on page 300 unde +fined on input line 21470. -LaTeX Warning: Hyper reference `cell/word_formal:formal._anyseq' on page 298 un -defined on input line 21461. +LaTeX Warning: Hyper reference `cell/word_formal:formal._fair' on page 300 unde +fined on input line 21470. -LaTeX Warning: Hyper reference `cell/word_formal:formal._anyinit' on page 298 u -ndefined on input line 21461. +LaTeX Warning: Hyper reference `cell/word_formal:formal._cover' on page 300 und +efined on input line 21470. -LaTeX Warning: Hyper reference `cell/word_formal:formal._allconst' on page 298 -undefined on input line 21461. +LaTeX Warning: Hyper reference `cell/word_formal:formal._equiv' on page 300 und +efined on input line 21470. -LaTeX Warning: Hyper reference `cell/word_formal:formal._allseq' on page 298 un -defined on input line 21461. +LaTeX Warning: Hyper reference `cell/word_formal:formal._initstate' on page 300 + undefined on input line 21471. -LaTeX Warning: Hyper reference `cell/word_formal:formal._ff' on page 298 undefi -ned on input line 21464. +LaTeX Warning: Hyper reference `cell/word_formal:formal._anyconst' on page 300 +undefined on input line 21471. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__FF_' on page 298 unde -fined on input line 21464. +LaTeX Warning: Hyper reference `cell/word_formal:formal._anyseq' on page 300 un +defined on input line 21471. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 298 undef -ined on input line 21486. +LaTeX Warning: Hyper reference `cell/word_formal:formal._anyinit' on page 300 u +ndefined on input line 21471. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 298 undef -ined on input line 21525. +LaTeX Warning: Hyper reference `cell/word_formal:formal._allconst' on page 300 +undefined on input line 21471. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 298 undef -ined on input line 21564. +LaTeX Warning: Hyper reference `cell/word_formal:formal._allseq' on page 300 un +defined on input line 21471. -[298] +LaTeX Warning: Hyper reference `cell/word_formal:formal._ff' on page 300 undefi +ned on input line 21474. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 299 undef -ined on input line 21641. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__FF_' on page 300 unde +fined on input line 21474. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 299 undef -ined on input line 21680. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 300 undef +ined on input line 21496. -[299] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 300 undef -ined on input line 21724. +ined on input line 21535. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 300 undef -ined on input line 21768. +ined on input line 21574. [300] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 301 undef -ined on input line 21803. +ined on input line 21651. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 301 undef -ined on input line 21850. +ined on input line 21690. [301] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 302 undef -ined on input line 21921. +ined on input line 21734. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 302 undef -ined on input line 21965. +ined on input line 21778. [302] -[303] -LaTeX Warning: Hyper reference `cell/word_debug:debug._print' on page 304 undef -ined on input line 22185. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 303 undef +ined on input line 21813. -[304] +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 303 undef +ined on input line 21860. -LaTeX Warning: Hyper reference `cell/word_debug:debug._print' on page 305 undef -ined on input line 22218. +[303] -LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 305 undef -ined on input line 22218. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 304 undef +ined on input line 21931. -LaTeX Warning: Hyper reference `cell/word_debug:debug._print' on page 305 undef -ined on input line 22228. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 304 undef +ined on input line 21975. +[304] [305] + +LaTeX Warning: Hyper reference `cell/word_debug:debug._print' on page 306 undef +ined on input line 22195. + + [306] -LaTeX Warning: Hyper reference `cell/word_wire:wire._slice' on page 307 undefin -ed on input line 22523. +LaTeX Warning: Hyper reference `cell/word_debug:debug._print' on page 307 undef +ined on input line 22228. -LaTeX Warning: Hyper reference `cell/word_wire:wire._concat' on page 307 undefi -ned on input line 22523. +LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 307 undef +ined on input line 22228. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 307 undef -ined on input line 22548. +LaTeX Warning: Hyper reference `cell/word_debug:debug._print' on page 307 undef +ined on input line 22238. [307] +[308] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 308 undef -ined on input line 22590. +LaTeX Warning: Hyper reference `cell/word_wire:wire._slice' on page 309 undefin +ed on input line 22533. -[308] +LaTeX Warning: Hyper reference `cell/word_wire:wire._concat' on page 309 undefi +ned on input line 22533. + + +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 309 undef +ined on input line 22558. + + +[309] + +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 310 undef +ined on input line 22600. + + +[310] LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__BUF_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NOT_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__AND_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NAND_' on p -age 309 undefined on input line 22748. +age 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__OR_' on pag -e 309 undefined on input line 22748. +e 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NOR_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__XOR_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__XNOR_' on p -age 309 undefined on input line 22748. +age 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__MUX_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__BUF_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NOT_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__AND_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NAND_' on p -age 309 undefined on input line 22748. +age 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__OR_' on pag -e 309 undefined on input line 22748. +e 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NOR_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__XOR_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__XNOR_' on p -age 309 undefined on input line 22748. +age 311 undefined on input line 22758. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__MUX_' on pa -ge 309 undefined on input line 22748. +ge 311 undefined on input line 22758. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 309 undef -ined on input line 22783. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 311 undef +ined on input line 22793. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 309 undef -ined on input line 22828. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 311 undef +ined on input line 22838. -[309] +[311] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 310 undef -ined on input line 22873. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 312 undef +ined on input line 22883. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 310 undef -ined on input line 22920. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 312 undef +ined on input line 22930. -[310] +[312] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 311 undef -ined on input line 22967. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 313 undef +ined on input line 22977. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 311 undef -ined on input line 23012. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 313 undef +ined on input line 23022. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 311 undef -ined on input line 23059. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 313 undef +ined on input line 23069. -[311] +[313] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 312 undef -ined on input line 23106. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 314 undef +ined on input line 23116. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 312 undef -ined on input line 23153. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 314 undef +ined on input line 23163. -[312] +[314] LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__ANDNOT_ -' on page 313 undefined on input line 23290. +' on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__ORNOT_' - on page 313 undefined on input line 23290. + on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__AOI3_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__OAI3_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__AOI4_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__OAI4_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__NMUX_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX4_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX8_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX16_' - on page 313 undefined on input line 23290. + on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__ANDNOT_ -' on page 313 undefined on input line 23290. +' on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__ORNOT_' - on page 313 undefined on input line 23290. + on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__AOI3_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__OAI3_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__AOI4_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__OAI4_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__NMUX_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX4_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX8_' -on page 313 undefined on input line 23290. +on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX16_' - on page 313 undefined on input line 23290. + on page 315 undefined on input line 23300. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX4_' -on page 313 undefined on input line 23295. +on page 315 undefined on input line 23305. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX8_' -on page 313 undefined on input line 23295. +on page 315 undefined on input line 23305. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX16_' - on page 313 undefined on input line 23295. + on page 315 undefined on input line 23305. -[313] +[315] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 314 undef -ined on input line 23349. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 316 undef +ined on input line 23359. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 314 undef -ined on input line 23400. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 316 undef +ined on input line 23410. -[314] +[316] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 315 undef -ined on input line 23459. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 317 undef +ined on input line 23469. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 315 undef -ined on input line 23518. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 317 undef +ined on input line 23528. -[315] +[317] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 316 undef -ined on input line 23572. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 318 undef +ined on input line 23582. -[316] +[318] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 317 undef -ined on input line 23624. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 319 undef +ined on input line 23634. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 317 undef -ined on input line 23674. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 319 undef +ined on input line 23684. -[317] +[319] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 318 undef -ined on input line 23725. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 320 undef +ined on input line 23735. -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 318 undef -ined on input line 23784. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 320 undef +ined on input line 23794. -[318] +[320] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 319 undef -ined on input line 23831. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 321 undef +ined on input line 23841. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 319 u -ndefined on input line 23863. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 321 u +ndefined on input line 23873. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 319 u -ndefined on input line 23863. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 321 u +ndefined on input line 23873. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 319 u -ndefined on input line 23902. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 321 u +ndefined on input line 23912. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 319 u -ndefined on input line 23902. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 321 u +ndefined on input line 23912. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 319 u -ndefined on input line 23902. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 321 u +ndefined on input line 23912. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 319 u -ndefined on input line 23902. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 321 u +ndefined on input line 23912. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN_' on page 319 - undefined on input line 23987. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN_' on page 321 + undefined on input line 23997. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP_' on page 319 - undefined on input line 23987. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP_' on page 321 + undefined on input line 23997. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN_' on page 319 - undefined on input line 23987. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN_' on page 321 + undefined on input line 23997. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP_' on page 319 - undefined on input line 23987. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP_' on page 321 + undefined on input line 23997. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN_' on page 319 - undefined on input line 23987. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN_' on page 321 + undefined on input line 23997. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP_' on page 319 - undefined on input line 23987. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP_' on page 321 + undefined on input line 23997. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN_' on page 319 - undefined on input line 23987. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN_' on page 321 + undefined on input line 23997. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP_' on page 319 - undefined on input line 23987. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP_' on page 321 + undefined on input line 23997. -[319] +[321] -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN0_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN0_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NN0_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN1_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN1_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NN1_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP0_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP0_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NP0_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP1_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP1_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NP1_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN0_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN0_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PN0_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN1_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN1_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PN1_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP0_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP0_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PP0_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP1_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP1_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PP1_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN0_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN0_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NN0_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN1_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN1_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NN1_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP0_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP0_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NP0_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP1_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP1_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NP1_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN0_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN0_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PN0_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN1_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN1_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PN1_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP0_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP0_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PP0_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP1_' on page 320 - undefined on input line 24163. +LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP1_' on page 322 + undefined on input line 24173. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PP1_' on page 32 -0 undefined on input line 24163. +2 undefined on input line 24173. -[320] +[322] LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1N_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1N_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1N_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1P_' on page 3 -21 undefined on input line 24524. +23 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1P_' on page -321 undefined on input line 24524. +323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1P_' on page - 321 undefined on input line 24524. + 323 undefined on input line 24534. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNN_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNP_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPN_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPP_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNN_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNP_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPN_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPP_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNN_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNP_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPN_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPP_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNN_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNP_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPN_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPP_' on page 3 -21 undefined on input line 24690. +23 undefined on input line 24700. -[321] +[323] LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPN_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPP_' on page - 322 undefined on input line 25027. + 324 undefined on input line 25037. -[322] -[323] [324] [325] [326] @@ -49426,197 +49460,197 @@ [370] [371] [372] +[373] +[374] LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_N_' on p -age 373 undefined on input line 30017. +age 375 undefined on input line 30027. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_P_' on p -age 373 undefined on input line 30017. +age 375 undefined on input line 30027. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_N_' on p -age 373 undefined on input line 30056. +age 375 undefined on input line 30066. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_P_' on p -age 373 undefined on input line 30056. +age 375 undefined on input line 30066. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_N_' on p -age 373 undefined on input line 30056. +age 375 undefined on input line 30066. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_P_' on p -age 373 undefined on input line 30056. +age 375 undefined on input line 30066. -[373] +[375] LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN0_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN1_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP0_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP1_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN0_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN1_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP0_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP1_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN0_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN1_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP0_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP1_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN0_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN1_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP0_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP1_' on - page 374 undefined on input line 30202. + page 376 undefined on input line 30212. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNN_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNP_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPN_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPP_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNN_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNP_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPN_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPP_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNN_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNP_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPN_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPP_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNN_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNP_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPN_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPP_' -on page 374 undefined on input line 30350. +on page 376 undefined on input line 30360. -[374] +[376] LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_NN_' on page - 375 undefined on input line 30428. + 377 undefined on input line 30438. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_NP_' on page - 375 undefined on input line 30428. + 377 undefined on input line 30438. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_PN_' on page - 375 undefined on input line 30428. + 377 undefined on input line 30438. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_PP_' on page - 375 undefined on input line 30428. + 377 undefined on input line 30438. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_NN_' on page - 375 undefined on input line 30428. + 377 undefined on input line 30438. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_NP_' on page - 375 undefined on input line 30428. + 377 undefined on input line 30438. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_PN_' on page - 375 undefined on input line 30428. + 377 undefined on input line 30438. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_PP_' on page - 375 undefined on input line 30428. + 377 undefined on input line 30438. -[375] -[376] [377] [378] [379] @@ -49625,124 +49659,124 @@ [382] [383] [384] +[385] +[386] -LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 385 undef -ined on input line 31489. +LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 387 undef +ined on input line 31499. -[385] +[387] -LaTeX Warning: Hyper reference `cmd/eval:cmd-eval' on page 386 undefined on inp -ut line 31531. +LaTeX Warning: Hyper reference `cmd/eval:cmd-eval' on page 388 undefined on inp +ut line 31541. -LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 386 undefine -d on input line 31532. +LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 388 undefine +d on input line 31542. -LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 386 undef -ined on input line 31547. +LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 388 undef +ined on input line 31557. -LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 386 undefi -ned on input line 31547. +LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 388 undefi +ned on input line 31557. -LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 386 undef -ined on input line 31561. +LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 388 undef +ined on input line 31571. -[386] +[388] Chapter 10. -[387] - -LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 388 undefined on inp -ut line 31638. - +[389] -LaTeX Warning: Hyper reference `cmd/viz:cmd-viz' on page 388 undefined on input - line 31638. +LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 390 undefined on inp +ut line 31648. -[388] -[389] -Underfull \vbox (badness 10000) detected at line 31875 +LaTeX Warning: Hyper reference `cmd/viz:cmd-viz' on page 390 undefined on input + line 31648. -Overfull \vbox (0.56999pt too high) detected at line 31875 [390] -Underfull \vbox (badness 10000) detected at line 31875 - -Overfull \vbox (0.56999pt too high) detected at line 31875 - [391] -Overfull \vbox (2.84741pt too high) detected at line 32033 +Underfull \vbox (badness 10000) detected at line 31885 + +Overfull \vbox (0.56999pt too high) detected at line 31885 [392] -Underfull \vbox (badness 10000) detected at line 32033 +Underfull \vbox (badness 10000) detected at line 31885 -Overfull \vbox (0.56999pt too high) detected at line 32033 +Overfull \vbox (0.56999pt too high) detected at line 31885 [393] -Underfull \vbox (badness 10000) detected at line 32033 - -Overfull \vbox (0.56999pt too high) detected at line 32033 +Overfull \vbox (2.84741pt too high) detected at line 32043 [394] +Underfull \vbox (badness 10000) detected at line 32043 + +Overfull \vbox (0.56999pt too high) detected at line 32043 + [395] -Underfull \vbox (badness 10000) detected at line 32139 +Underfull \vbox (badness 10000) detected at line 32043 -Underfull \vbox (badness 10000) detected at line 32139 +Overfull \vbox (0.56999pt too high) detected at line 32043 [396] -Overfull \vbox (2.84741pt too high) detected at line 32237 - [397] -Underfull \vbox (badness 10000) detected at line 32237 +Underfull \vbox (badness 10000) detected at line 32149 -Overfull \vbox (0.56999pt too high) detected at line 32237 +Underfull \vbox (badness 10000) detected at line 32149 [398] +Overfull \vbox (2.84741pt too high) detected at line 32247 + [399] -[400] -Overfull \vbox (2.84741pt too high) detected at line 32421 +Underfull \vbox (badness 10000) detected at line 32247 +Overfull \vbox (0.56999pt too high) detected at line 32247 + +[400] [401] [402] -[403] -Overfull \vbox (2.84741pt too high) detected at line 32662 +Overfull \vbox (2.84741pt too high) detected at line 32431 +[403] [404] [405] +Overfull \vbox (2.84741pt too high) detected at line 32672 + [406] [407] [408] [409] -Overfull \vbox (1.94772pt too high) detected at line 33106 - [410] -Overfull \vbox (2.84741pt too high) detected at line 33168 - [411] +Overfull \vbox (1.94772pt too high) detected at line 33116 + [412] +Overfull \vbox (2.84741pt too high) detected at line 33178 + [413] [414] -Overfull \vbox (1.94772pt too high) detected at line 33501 - [415] [416] +Overfull \vbox (1.94772pt too high) detected at line 33511 + [417] [418] [419] [420] -Overfull \vbox (1.94772pt too high) detected at line 33978 - [421] -Overfull \vbox (2.84741pt too high) detected at line 34021 - [422] +Overfull \vbox (1.94772pt too high) detected at line 33988 + [423] +Overfull \vbox (2.84741pt too high) detected at line 34031 + [424] [425] [426] @@ -49750,4236 +49784,4238 @@ [428] [429] [430] -Overfull \vbox (1.94772pt too high) detected at line 34727 - [431] -Overfull \vbox (2.84741pt too high) detected at line 34827 - [432] +Overfull \vbox (1.94772pt too high) detected at line 34737 + [433] +Overfull \vbox (2.84741pt too high) detected at line 34837 + [434] [435] -Overfull \vbox (2.84741pt too high) detected at line 35065 - [436] [437] -Overfull \vbox (1.94772pt too high) detected at line 35212 +Overfull \vbox (2.84741pt too high) detected at line 35075 [438] [439] -Overfull \vbox (1.94772pt too high) detected at line 35345 +Overfull \vbox (1.94772pt too high) detected at line 35222 [440] [441] +Overfull \vbox (1.94772pt too high) detected at line 35355 + [442] [443] [444] -Underfull \vbox (badness 10000) detected at line 35727 - -Overfull \vbox (0.56999pt too high) detected at line 35727 - [445] [446] -Underfull \vbox (badness 10000) detected at line 35874 +Underfull \vbox (badness 10000) detected at line 35737 -Overfull \vbox (0.56999pt too high) detected at line 35874 +Overfull \vbox (0.56999pt too high) detected at line 35737 [447] [448] +Underfull \vbox (badness 10000) detected at line 35884 + +Overfull \vbox (0.56999pt too high) detected at line 35884 + [449] [450] [451] [452] -Overfull \vbox (1.94772pt too high) detected at line 36370 - [453] -Overfull \vbox (2.84741pt too high) detected at line 36427 - [454] -[455] -[456] -Underfull \vbox (badness 10000) detected at line 36692 +Overfull \vbox (1.94772pt too high) detected at line 36380 -Overfull \vbox (0.56999pt too high) detected at line 36692 +[455] +Overfull \vbox (2.84741pt too high) detected at line 36437 +[456] [457] [458] +Underfull \vbox (badness 10000) detected at line 36702 + +Overfull \vbox (0.56999pt too high) detected at line 36702 + [459] [460] [461] [462] -Overfull \vbox (2.84741pt too high) detected at line 37161 - [463] -Overfull \vbox (2.84741pt too high) detected at line 37206 - [464] +Overfull \vbox (2.84741pt too high) detected at line 37171 + [465] +Overfull \vbox (2.84741pt too high) detected at line 37216 + [466] [467] -Overfull \vbox (2.84741pt too high) detected at line 37500 - [468] [469] +Overfull \vbox (2.84741pt too high) detected at line 37510 + [470] [471] [472] [473] [474] -Overfull \vbox (1.94772pt too high) detected at line 38102 - [475] [476] +Overfull \vbox (1.94772pt too high) detected at line 38112 + [477] [478] [479] -Underfull \vbox (badness 10000) detected at line 38500 - -Overfull \vbox (0.56999pt too high) detected at line 38500 - [480] [481] -[482] -Overfull \vbox (2.84741pt too high) detected at line 38732 +Underfull \vbox (badness 10000) detected at line 38510 +Overfull \vbox (0.56999pt too high) detected at line 38510 + +[482] [483] [484] -[485] -Underfull \vbox (badness 10000) detected at line 39053 - -Overfull \vbox (0.56999pt too high) detected at line 39053 +Overfull \vbox (2.84741pt too high) detected at line 38742 +[485] [486] -Underfull \vbox (badness 10000) detected at line 39053 - -Overfull \vbox (0.56999pt too high) detected at line 39053 - [487] -Underfull \vbox (badness 10000) detected at line 39053 +Underfull \vbox (badness 10000) detected at line 39063 -Overfull \vbox (0.56999pt too high) detected at line 39053 +Overfull \vbox (0.56999pt too high) detected at line 39063 [488] -[489] -[490] -Overfull \vbox (1.94772pt too high) detected at line 39386 +Underfull \vbox (badness 10000) detected at line 39063 -[491] -Underfull \vbox (badness 10000) detected at line 39386 +Overfull \vbox (0.56999pt too high) detected at line 39063 -Overfull \vbox (0.56999pt too high) detected at line 39386 +[489] +Underfull \vbox (badness 10000) detected at line 39063 -[492] -Underfull \vbox (badness 10000) detected at line 39386 +Overfull \vbox (0.56999pt too high) detected at line 39063 -Overfull \vbox (0.56999pt too high) detected at line 39386 +[490] +[491] +[492] +Overfull \vbox (1.94772pt too high) detected at line 39396 [493] +Underfull \vbox (badness 10000) detected at line 39396 + +Overfull \vbox (0.56999pt too high) detected at line 39396 + [494] -Overfull \vbox (2.84741pt too high) detected at line 39512 +Underfull \vbox (badness 10000) detected at line 39396 + +Overfull \vbox (0.56999pt too high) detected at line 39396 [495] [496] -Underfull \vbox (badness 10000) detected at line 39796 - -Overfull \vbox (0.56999pt too high) detected at line 39796 +Overfull \vbox (2.84741pt too high) detected at line 39522 [497] -Underfull \vbox (badness 10000) detected at line 39796 - -Overfull \vbox (0.56999pt too high) detected at line 39796 - [498] -Underfull \vbox (badness 10000) detected at line 39796 +Underfull \vbox (badness 10000) detected at line 39806 -Overfull \vbox (0.56999pt too high) detected at line 39796 +Overfull \vbox (0.56999pt too high) detected at line 39806 [499] -Underfull \vbox (badness 10000) detected at line 39796 +Underfull \vbox (badness 10000) detected at line 39806 -Overfull \vbox (0.56999pt too high) detected at line 39796 +Overfull \vbox (0.56999pt too high) detected at line 39806 [500] +Underfull \vbox (badness 10000) detected at line 39806 + +Overfull \vbox (0.56999pt too high) detected at line 39806 + [501] +Underfull \vbox (badness 10000) detected at line 39806 + +Overfull \vbox (0.56999pt too high) detected at line 39806 + [502] [503] [504] -Overfull \vbox (2.84741pt too high) detected at line 40183 - [505] -Overfull \vbox (2.84741pt too high) detected at line 40312 - [506] -Underfull \vbox (badness 10000) detected at line 40312 - -Overfull \vbox (0.56999pt too high) detected at line 40312 +Overfull \vbox (2.84741pt too high) detected at line 40193 [507] -Underfull \vbox (badness 10000) detected at line 40312 - -Overfull \vbox (0.56999pt too high) detected at line 40312 +Overfull \vbox (2.84741pt too high) detected at line 40322 [508] +Underfull \vbox (badness 10000) detected at line 40322 + +Overfull \vbox (0.56999pt too high) detected at line 40322 + [509] +Underfull \vbox (badness 10000) detected at line 40322 + +Overfull \vbox (0.56999pt too high) detected at line 40322 + [510] [511] [512] -Underfull \vbox (badness 10000) detected at line 40710 - -Overfull \vbox (0.56999pt too high) detected at line 40710 - [513] -Overfull \vbox (2.84741pt too high) detected at line 40795 - [514] -Underfull \vbox (badness 10000) detected at line 40795 +Underfull \vbox (badness 10000) detected at line 40720 -Overfull \vbox (0.56999pt too high) detected at line 40795 +Overfull \vbox (0.56999pt too high) detected at line 40720 [515] -Overfull \vbox (2.84741pt too high) detected at line 40904 +Overfull \vbox (2.84741pt too high) detected at line 40805 [516] +Underfull \vbox (badness 10000) detected at line 40805 + +Overfull \vbox (0.56999pt too high) detected at line 40805 + [517] -[518] -Overfull \vbox (2.84741pt too high) detected at line 41084 +Overfull \vbox (2.84741pt too high) detected at line 40914 +[518] [519] [520] -[521] -Underfull \vbox (badness 10000) detected at line 41262 - -Overfull \vbox (0.56999pt too high) detected at line 41262 +Overfull \vbox (2.84741pt too high) detected at line 41094 +[521] [522] -Underfull \vbox (badness 10000) detected at line 41262 - -Overfull \vbox (0.56999pt too high) detected at line 41262 - [523] -Overfull \vbox (2.84741pt too high) detected at line 41370 +Underfull \vbox (badness 10000) detected at line 41272 + +Overfull \vbox (0.56999pt too high) detected at line 41272 [524] -Underfull \vbox (badness 10000) detected at line 41370 +Underfull \vbox (badness 10000) detected at line 41272 -Overfull \vbox (0.56999pt too high) detected at line 41370 +Overfull \vbox (0.56999pt too high) detected at line 41272 [525] -Overfull \vbox (2.84741pt too high) detected at line 41548 +Overfull \vbox (2.84741pt too high) detected at line 41380 [526] -Underfull \vbox (badness 10000) detected at line 41548 +Underfull \vbox (badness 10000) detected at line 41380 -Overfull \vbox (0.56999pt too high) detected at line 41548 +Overfull \vbox (0.56999pt too high) detected at line 41380 [527] -Underfull \vbox (badness 10000) detected at line 41548 - -Overfull \vbox (0.56999pt too high) detected at line 41548 +Overfull \vbox (2.84741pt too high) detected at line 41558 [528] -Overfull \vbox (2.84741pt too high) detected at line 41711 +Underfull \vbox (badness 10000) detected at line 41558 + +Overfull \vbox (0.56999pt too high) detected at line 41558 [529] -Underfull \vbox (badness 10000) detected at line 41711 +Underfull \vbox (badness 10000) detected at line 41558 -Overfull \vbox (0.56999pt too high) detected at line 41711 +Overfull \vbox (0.56999pt too high) detected at line 41558 [530] -Underfull \vbox (badness 10000) detected at line 41711 - -Overfull \vbox (0.56999pt too high) detected at line 41711 +Overfull \vbox (2.84741pt too high) detected at line 41721 [531] -Overfull \vbox (2.84741pt too high) detected at line 41848 +Underfull \vbox (badness 10000) detected at line 41721 + +Overfull \vbox (0.56999pt too high) detected at line 41721 [532] -Underfull \vbox (badness 10000) detected at line 41848 +Underfull \vbox (badness 10000) detected at line 41721 -Overfull \vbox (0.56999pt too high) detected at line 41848 +Overfull \vbox (0.56999pt too high) detected at line 41721 [533] -Overfull \vbox (2.84741pt too high) detected at line 41948 +Overfull \vbox (2.84741pt too high) detected at line 41858 [534] -Underfull \vbox (badness 10000) detected at line 41948 +Underfull \vbox (badness 10000) detected at line 41858 -Overfull \vbox (0.56999pt too high) detected at line 41948 +Overfull \vbox (0.56999pt too high) detected at line 41858 [535] -Overfull \vbox (2.84741pt too high) detected at line 42144 +Overfull \vbox (2.84741pt too high) detected at line 41958 [536] -Underfull \vbox (badness 10000) detected at line 42144 +Underfull \vbox (badness 10000) detected at line 41958 -Overfull \vbox (0.56999pt too high) detected at line 42144 +Overfull \vbox (0.56999pt too high) detected at line 41958 [537] -Underfull \vbox (badness 10000) detected at line 42144 - -Overfull \vbox (0.56999pt too high) detected at line 42144 +Overfull \vbox (2.84741pt too high) detected at line 42154 [538] -Underfull \vbox (badness 10000) detected at line 42144 +Underfull \vbox (badness 10000) detected at line 42154 -Overfull \vbox (0.56999pt too high) detected at line 42144 +Overfull \vbox (0.56999pt too high) detected at line 42154 [539] -Overfull \vbox (2.84741pt too high) detected at line 42288 +Underfull \vbox (badness 10000) detected at line 42154 + +Overfull \vbox (0.56999pt too high) detected at line 42154 [540] -Underfull \vbox (badness 10000) detected at line 42288 +Underfull \vbox (badness 10000) detected at line 42154 -Overfull \vbox (0.56999pt too high) detected at line 42288 +Overfull \vbox (0.56999pt too high) detected at line 42154 [541] +Overfull \vbox (2.84741pt too high) detected at line 42298 + [542] -Underfull \vbox (badness 10000) detected at line 42417 +Underfull \vbox (badness 10000) detected at line 42298 -Overfull \vbox (0.56999pt too high) detected at line 42417 +Overfull \vbox (0.56999pt too high) detected at line 42298 [543] -Underfull \vbox (badness 10000) detected at line 42417 - -Overfull \vbox (0.56999pt too high) detected at line 42417 - [544] -Overfull \vbox (2.84741pt too high) detected at line 42599 +Underfull \vbox (badness 10000) detected at line 42427 + +Overfull \vbox (0.56999pt too high) detected at line 42427 [545] -Underfull \vbox (badness 10000) detected at line 42599 +Underfull \vbox (badness 10000) detected at line 42427 -Overfull \vbox (0.56999pt too high) detected at line 42599 +Overfull \vbox (0.56999pt too high) detected at line 42427 [546] -Underfull \vbox (badness 10000) detected at line 42599 - -Overfull \vbox (0.56999pt too high) detected at line 42599 +Overfull \vbox (2.84741pt too high) detected at line 42609 [547] -Overfull \vbox (2.84741pt too high) detected at line 42774 +Underfull \vbox (badness 10000) detected at line 42609 + +Overfull \vbox (0.56999pt too high) detected at line 42609 [548] -Underfull \vbox (badness 10000) detected at line 42774 +Underfull \vbox (badness 10000) detected at line 42609 -Overfull \vbox (0.56999pt too high) detected at line 42774 +Overfull \vbox (0.56999pt too high) detected at line 42609 [549] -Underfull \vbox (badness 10000) detected at line 42774 - -Overfull \vbox (0.56999pt too high) detected at line 42774 +Overfull \vbox (2.84741pt too high) detected at line 42784 [550] -Overfull \vbox (2.84741pt too high) detected at line 42924 +Underfull \vbox (badness 10000) detected at line 42784 + +Overfull \vbox (0.56999pt too high) detected at line 42784 [551] -Underfull \vbox (badness 10000) detected at line 42924 +Underfull \vbox (badness 10000) detected at line 42784 -Overfull \vbox (0.56999pt too high) detected at line 42924 +Overfull \vbox (0.56999pt too high) detected at line 42784 [552] -Underfull \vbox (badness 10000) detected at line 42924 - -Overfull \vbox (0.56999pt too high) detected at line 42924 +Overfull \vbox (2.84741pt too high) detected at line 42934 [553] -Overfull \vbox (2.84741pt too high) detected at line 43083 +Underfull \vbox (badness 10000) detected at line 42934 + +Overfull \vbox (0.56999pt too high) detected at line 42934 [554] -Underfull \vbox (badness 10000) detected at line 43083 +Underfull \vbox (badness 10000) detected at line 42934 -Overfull \vbox (0.56999pt too high) detected at line 43083 +Overfull \vbox (0.56999pt too high) detected at line 42934 [555] -Underfull \vbox (badness 10000) detected at line 43083 - -Overfull \vbox (0.56999pt too high) detected at line 43083 +Overfull \vbox (2.84741pt too high) detected at line 43093 [556] -Overfull \vbox (2.84741pt too high) detected at line 43251 +Underfull \vbox (badness 10000) detected at line 43093 + +Overfull \vbox (0.56999pt too high) detected at line 43093 [557] -Underfull \vbox (badness 10000) detected at line 43251 +Underfull \vbox (badness 10000) detected at line 43093 -Overfull \vbox (0.56999pt too high) detected at line 43251 +Overfull \vbox (0.56999pt too high) detected at line 43093 [558] -Underfull \vbox (badness 10000) detected at line 43251 - -Overfull \vbox (0.56999pt too high) detected at line 43251 +Overfull \vbox (2.84741pt too high) detected at line 43261 [559] -Overfull \vbox (2.84741pt too high) detected at line 43366 +Underfull \vbox (badness 10000) detected at line 43261 + +Overfull \vbox (0.56999pt too high) detected at line 43261 [560] -Underfull \vbox (badness 10000) detected at line 43366 +Underfull \vbox (badness 10000) detected at line 43261 -Overfull \vbox (0.56999pt too high) detected at line 43366 +Overfull \vbox (0.56999pt too high) detected at line 43261 [561] -Overfull \vbox (2.84741pt too high) detected at line 43581 +Overfull \vbox (2.84741pt too high) detected at line 43376 [562] -Underfull \vbox (badness 10000) detected at line 43581 +Underfull \vbox (badness 10000) detected at line 43376 -Overfull \vbox (0.56999pt too high) detected at line 43581 +Overfull \vbox (0.56999pt too high) detected at line 43376 [563] -Underfull \vbox (badness 10000) detected at line 43581 - -Overfull \vbox (0.56999pt too high) detected at line 43581 +Overfull \vbox (2.84741pt too high) detected at line 43591 [564] -Underfull \vbox (badness 10000) detected at line 43581 +Underfull \vbox (badness 10000) detected at line 43591 -Overfull \vbox (0.56999pt too high) detected at line 43581 +Overfull \vbox (0.56999pt too high) detected at line 43591 [565] -[566] -Overfull \vbox (1.94772pt too high) detected at line 43840 +Underfull \vbox (badness 10000) detected at line 43591 -[567] -Underfull \vbox (badness 10000) detected at line 43840 +Overfull \vbox (0.56999pt too high) detected at line 43591 -Overfull \vbox (0.56999pt too high) detected at line 43840 +[566] +Underfull \vbox (badness 10000) detected at line 43591 -[568] -Underfull \vbox (badness 10000) detected at line 43840 +Overfull \vbox (0.56999pt too high) detected at line 43591 -Overfull \vbox (0.56999pt too high) detected at line 43840 +[567] +[568] +Overfull \vbox (1.94772pt too high) detected at line 43850 [569] -Overfull \vbox (2.84741pt too high) detected at line 43877 +Underfull \vbox (badness 10000) detected at line 43850 + +Overfull \vbox (0.56999pt too high) detected at line 43850 [570] +Underfull \vbox (badness 10000) detected at line 43850 + +Overfull \vbox (0.56999pt too high) detected at line 43850 + [571] -Overfull \vbox (2.84741pt too high) detected at line 44029 +Overfull \vbox (2.84741pt too high) detected at line 43887 [572] [573] -[574] -Overfull \vbox (1.94772pt too high) detected at line 44325 +Overfull \vbox (2.84741pt too high) detected at line 44039 +[574] [575] -Underfull \vbox (badness 10000) detected at line 44325 - -Overfull \vbox (0.56999pt too high) detected at line 44325 - [576] +Overfull \vbox (1.94772pt too high) detected at line 44335 + [577] -Overfull \vbox (1.94772pt too high) detected at line 44474 +Underfull \vbox (badness 10000) detected at line 44335 + +Overfull \vbox (0.56999pt too high) detected at line 44335 [578] [579] -[580] -Overfull \vbox (1.94772pt too high) detected at line 44667 +Overfull \vbox (1.94772pt too high) detected at line 44484 +[580] [581] -Overfull \vbox (2.84741pt too high) detected at line 44749 - [582] -Overfull \vbox (2.84741pt too high) detected at line 44788 +Overfull \vbox (1.94772pt too high) detected at line 44677 [583] -Overfull \vbox (2.84741pt too high) detected at line 45043 +Overfull \vbox (2.84741pt too high) detected at line 44759 [584] -Underfull \vbox (badness 10000) detected at line 45043 - -Overfull \vbox (0.56999pt too high) detected at line 45043 +Overfull \vbox (2.84741pt too high) detected at line 44798 [585] -Underfull \vbox (badness 10000) detected at line 45043 - -Overfull \vbox (0.56999pt too high) detected at line 45043 +Overfull \vbox (2.84741pt too high) detected at line 45053 [586] -Underfull \vbox (badness 10000) detected at line 45043 +Underfull \vbox (badness 10000) detected at line 45053 -Overfull \vbox (0.56999pt too high) detected at line 45043 +Overfull \vbox (0.56999pt too high) detected at line 45053 [587] +Underfull \vbox (badness 10000) detected at line 45053 + +Overfull \vbox (0.56999pt too high) detected at line 45053 + [588] +Underfull \vbox (badness 10000) detected at line 45053 + +Overfull \vbox (0.56999pt too high) detected at line 45053 + [589] [590] [591] -Underfull \vbox (badness 10000) detected at line 45555 - -Overfull \vbox (0.56999pt too high) detected at line 45555 - [592] -Underfull \vbox (badness 10000) detected at line 45555 - -Overfull \vbox (0.56999pt too high) detected at line 45555 - [593] -Underfull \vbox (badness 10000) detected at line 45555 +Underfull \vbox (badness 10000) detected at line 45565 -Overfull \vbox (0.56999pt too high) detected at line 45555 +Overfull \vbox (0.56999pt too high) detected at line 45565 [594] -Underfull \vbox (badness 10000) detected at line 45555 +Underfull \vbox (badness 10000) detected at line 45565 -Overfull \vbox (0.56999pt too high) detected at line 45555 +Overfull \vbox (0.56999pt too high) detected at line 45565 [595] -[596] -Overfull \vbox (1.94772pt too high) detected at line 45783 +Underfull \vbox (badness 10000) detected at line 45565 -[597] -Underfull \vbox (badness 10000) detected at line 45783 +Overfull \vbox (0.56999pt too high) detected at line 45565 -Overfull \vbox (0.56999pt too high) detected at line 45783 +[596] +Underfull \vbox (badness 10000) detected at line 45565 -[598] -Underfull \vbox (badness 10000) detected at line 45783 +Overfull \vbox (0.56999pt too high) detected at line 45565 -Overfull \vbox (0.56999pt too high) detected at line 45783 +[597] +[598] +Overfull \vbox (1.94772pt too high) detected at line 45793 [599] +Underfull \vbox (badness 10000) detected at line 45793 + +Overfull \vbox (0.56999pt too high) detected at line 45793 + [600] -[601] -Underfull \vbox (badness 10000) detected at line 45994 +Underfull \vbox (badness 10000) detected at line 45793 -Overfull \vbox (0.56999pt too high) detected at line 45994 +Overfull \vbox (0.56999pt too high) detected at line 45793 +[601] [602] [603] -[604] -Overfull \vbox (2.84741pt too high) detected at line 46186 +Underfull \vbox (badness 10000) detected at line 46004 -[605] -Overfull \vbox (2.84741pt too high) detected at line 46250 +Overfull \vbox (0.56999pt too high) detected at line 46004 +[604] +[605] [606] +Overfull \vbox (2.84741pt too high) detected at line 46196 + [607] +Overfull \vbox (2.84741pt too high) detected at line 46260 + [608] -Underfull \hbox (badness 5652) in paragraph at lines 46284--46286 +[609] +[610] +Underfull \hbox (badness 5652) in paragraph at lines 46294--46296 \T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. -Underfull \hbox (badness 7685) in paragraph at lines 46287--46289 +Underfull \hbox (badness 7685) in paragraph at lines 46297--46299 []\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo r ver-ilog hard-ware de- -Underfull \hbox (badness 5022) in paragraph at lines 46287--46289 +Underfull \hbox (badness 5022) in paragraph at lines 46297--46299 \T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. -[609] -[610] +[611] +[612] -LaTeX Warning: Reference `cell/word_arith:arith._alu' on page 611 undefined on -input line 46332. +LaTeX Warning: Reference `cell/properties:is_evaluable' on page 613 undefined o +n input line 46342. -LaTeX Warning: Reference `cell/word_arith:arith._fa' on page 611 undefined on i -nput line 46333. +LaTeX Warning: Reference `cell/word_arith:arith._alu' on page 613 undefined on +input line 46343. -LaTeX Warning: Reference `cell/word_arith:arith._lcu' on page 611 undefined on -input line 46334. +LaTeX Warning: Reference `cell/word_arith:arith._fa' on page 613 undefined on i +nput line 46344. -LaTeX Warning: Reference `cell/word_arith:arith._macc' on page 611 undefined on - input line 46335. +LaTeX Warning: Reference `cell/word_arith:arith._lcu' on page 613 undefined on +input line 46345. -LaTeX Warning: Reference `cell/word_binary:binary._add' on page 611 undefined o -n input line 46336. +LaTeX Warning: Reference `cell/word_arith:arith._macc' on page 613 undefined on + input line 46346. -LaTeX Warning: Reference `cell/word_binary:binary._and' on page 611 undefined o -n input line 46337. +LaTeX Warning: Reference `cell/word_binary:binary._add' on page 613 undefined o +n input line 46347. -LaTeX Warning: Reference `cell/word_binary:binary._bweqx' on page 611 undefined - on input line 46338. +LaTeX Warning: Reference `cell/word_binary:binary._and' on page 613 undefined o +n input line 46348. -LaTeX Warning: Reference `cell/word_binary:binary._div' on page 611 undefined o -n input line 46339. +LaTeX Warning: Reference `cell/word_binary:binary._bweqx' on page 613 undefined + on input line 46349. -LaTeX Warning: Reference `cell/word_binary:binary._divfloor' on page 611 undefi -ned on input line 46340. +LaTeX Warning: Reference `cell/word_binary:binary._div' on page 613 undefined o +n input line 46350. -LaTeX Warning: Reference `cell/word_binary:binary._eq' on page 611 undefined on - input line 46341. +LaTeX Warning: Reference `cell/word_binary:binary._divfloor' on page 613 undefi +ned on input line 46351. -LaTeX Warning: Reference `cell/word_binary:binary._eqx' on page 611 undefined o -n input line 46342. +LaTeX Warning: Reference `cell/word_binary:binary._eq' on page 613 undefined on + input line 46352. -LaTeX Warning: Reference `cell/word_binary:binary._ge' on page 611 undefined on - input line 46343. +LaTeX Warning: Reference `cell/word_binary:binary._eqx' on page 613 undefined o +n input line 46353. -LaTeX Warning: Reference `cell/word_binary:binary._gt' on page 611 undefined on - input line 46344. +LaTeX Warning: Reference `cell/word_binary:binary._ge' on page 613 undefined on + input line 46354. -LaTeX Warning: Reference `cell/word_binary:binary._le' on page 611 undefined on - input line 46345. +LaTeX Warning: Reference `cell/word_binary:binary._gt' on page 613 undefined on + input line 46355. -LaTeX Warning: Reference `cell/word_binary:binary._logic_and' on page 611 undef -ined on input line 46346. +LaTeX Warning: Reference `cell/word_binary:binary._le' on page 613 undefined on + input line 46356. -LaTeX Warning: Reference `cell/word_binary:binary._logic_or' on page 611 undefi -ned on input line 46347. +LaTeX Warning: Reference `cell/word_binary:binary._logic_and' on page 613 undef +ined on input line 46357. -LaTeX Warning: Reference `cell/word_binary:binary._lt' on page 611 undefined on - input line 46348. +LaTeX Warning: Reference `cell/word_binary:binary._logic_or' on page 613 undefi +ned on input line 46358. -LaTeX Warning: Reference `cell/word_binary:binary._mod' on page 611 undefined o -n input line 46349. +LaTeX Warning: Reference `cell/word_binary:binary._lt' on page 613 undefined on + input line 46359. -LaTeX Warning: Reference `cell/word_binary:binary._modfloor' on page 611 undefi -ned on input line 46350. +LaTeX Warning: Reference `cell/word_binary:binary._mod' on page 613 undefined o +n input line 46360. -LaTeX Warning: Reference `cell/word_binary:binary._mul' on page 611 undefined o -n input line 46351. +LaTeX Warning: Reference `cell/word_binary:binary._modfloor' on page 613 undefi +ned on input line 46361. -LaTeX Warning: Reference `cell/word_binary:binary._ne' on page 611 undefined on - input line 46352. +LaTeX Warning: Reference `cell/word_binary:binary._mul' on page 613 undefined o +n input line 46362. -LaTeX Warning: Reference `cell/word_binary:binary._nex' on page 611 undefined o -n input line 46353. +LaTeX Warning: Reference `cell/word_binary:binary._ne' on page 613 undefined on + input line 46363. -LaTeX Warning: Reference `cell/word_binary:binary._or' on page 611 undefined on - input line 46354. +LaTeX Warning: Reference `cell/word_binary:binary._nex' on page 613 undefined o +n input line 46364. -LaTeX Warning: Reference `cell/word_binary:binary._pow' on page 611 undefined o -n input line 46355. +LaTeX Warning: Reference `cell/word_binary:binary._or' on page 613 undefined on + input line 46365. -LaTeX Warning: Reference `cell/word_binary:binary._shift' on page 611 undefined - on input line 46356. +LaTeX Warning: Reference `cell/word_binary:binary._pow' on page 613 undefined o +n input line 46366. -LaTeX Warning: Reference `cell/word_binary:binary._shiftx' on page 611 undefine -d on input line 46357. +LaTeX Warning: Reference `cell/word_binary:binary._shift' on page 613 undefined + on input line 46367. -LaTeX Warning: Reference `cell/word_binary:binary._shl' on page 611 undefined o -n input line 46358. +LaTeX Warning: Reference `cell/word_binary:binary._shiftx' on page 613 undefine +d on input line 46368. -LaTeX Warning: Reference `cell/word_binary:binary._shr' on page 611 undefined o -n input line 46359. +LaTeX Warning: Reference `cell/word_binary:binary._shl' on page 613 undefined o +n input line 46369. -LaTeX Warning: Reference `cell/word_binary:binary._sshl' on page 611 undefined -on input line 46360. +LaTeX Warning: Reference `cell/word_binary:binary._shr' on page 613 undefined o +n input line 46370. -LaTeX Warning: Reference `cell/word_binary:binary._sshr' on page 611 undefined -on input line 46361. +LaTeX Warning: Reference `cell/word_binary:binary._sshl' on page 613 undefined +on input line 46371. -LaTeX Warning: Reference `cell/word_binary:binary._sub' on page 611 undefined o -n input line 46362. +LaTeX Warning: Reference `cell/word_binary:binary._sshr' on page 613 undefined +on input line 46372. -LaTeX Warning: Reference `cell/word_binary:binary._xnor' on page 611 undefined -on input line 46363. +LaTeX Warning: Reference `cell/word_binary:binary._sub' on page 613 undefined o +n input line 46373. -LaTeX Warning: Reference `cell/word_binary:binary._xor' on page 611 undefined o -n input line 46364. +LaTeX Warning: Reference `cell/word_binary:binary._xnor' on page 613 undefined +on input line 46374. + + +LaTeX Warning: Reference `cell/word_binary:binary._xor' on page 613 undefined o +n input line 46375. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__ANDNOT_' on p -age 611 undefined on input line 46365. +age 613 undefined on input line 46376. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__AOI3_' on pag -e 611 undefined on input line 46366. +e 613 undefined on input line 46377. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__AOI4_' on pag -e 611 undefined on input line 46367. +e 613 undefined on input line 46378. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX16_' on pa -ge 611 undefined on input line 46368. +ge 613 undefined on input line 46379. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX4_' on pag -e 611 undefined on input line 46369. +e 613 undefined on input line 46380. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX8_' on pag -e 611 undefined on input line 46370. +e 613 undefined on input line 46381. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__NMUX_' on pag -e 611 undefined on input line 46371. +e 613 undefined on input line 46382. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__OAI3_' on pag -e 611 undefined on input line 46372. +e 613 undefined on input line 46383. -LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__OAI4_' on pag -e 611 undefined on input line 46373. +LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__OAI4_' on pag +e 613 undefined on input line 46384. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__ORNOT_' on pa -ge 611 undefined on input line 46374. +ge 613 undefined on input line 46385. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__AND_' on page 611 - undefined on input line 46375. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__AND_' on page 613 + undefined on input line 46386. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__BUF_' on page 611 - undefined on input line 46376. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__BUF_' on page 613 + undefined on input line 46387. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__MUX_' on page 611 - undefined on input line 46377. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__MUX_' on page 613 + undefined on input line 46388. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NAND_' on page 61 -1 undefined on input line 46378. +3 undefined on input line 46389. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOR_' on page 611 - undefined on input line 46379. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOR_' on page 613 + undefined on input line 46390. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOT_' on page 611 - undefined on input line 46380. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOT_' on page 613 + undefined on input line 46391. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__OR_' on page 611 -undefined on input line 46381. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__OR_' on page 613 +undefined on input line 46392. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__XNOR_' on page 61 -1 undefined on input line 46382. - - -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__XOR_' on page 611 - undefined on input line 46383. - - -LaTeX Warning: Reference `cell/word_debug:debug._check' on page 611 undefined o -n input line 46384. - - -LaTeX Warning: Reference `cell/word_debug:debug._print' on page 611 undefined o -n input line 46385. - - -LaTeX Warning: Reference `cell/word_debug:debug._scopeinfo' on page 611 undefin -ed on input line 46386. - - -LaTeX Warning: Reference `cell/word_formal:formal._allconst' on page 611 undefi -ned on input line 46387. - +3 undefined on input line 46393. -LaTeX Warning: Reference `cell/word_formal:formal._allseq' on page 611 undefine -d on input line 46388. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__XOR_' on page 613 + undefined on input line 46394. -LaTeX Warning: Reference `cell/word_formal:formal._anyconst' on page 611 undefi -ned on input line 46389. +LaTeX Warning: Reference `cell/word_formal:formal._allconst' on page 613 undefi +ned on input line 46395. -LaTeX Warning: Reference `cell/word_formal:formal._anyinit' on page 611 undefin -ed on input line 46390. +LaTeX Warning: Reference `cell/word_formal:formal._allseq' on page 613 undefine +d on input line 46396. -LaTeX Warning: Reference `cell/word_formal:formal._anyseq' on page 611 undefine -d on input line 46391. +LaTeX Warning: Reference `cell/word_formal:formal._anyconst' on page 613 undefi +ned on input line 46397. -LaTeX Warning: Reference `cell/word_formal:formal._assert' on page 611 undefine -d on input line 46392. +LaTeX Warning: Reference `cell/word_formal:formal._anyseq' on page 613 undefine +d on input line 46398. -LaTeX Warning: Reference `cell/word_formal:formal._assume' on page 611 undefine -d on input line 46393. +LaTeX Warning: Reference `cell/word_formal:formal._assert' on page 613 undefine +d on input line 46399. -LaTeX Warning: Reference `cell/word_formal:formal._cover' on page 611 undefined - on input line 46394. +LaTeX Warning: Reference `cell/word_formal:formal._assume' on page 613 undefine +d on input line 46400. -LaTeX Warning: Reference `cell/word_formal:formal._equiv' on page 611 undefined - on input line 46395. +LaTeX Warning: Reference `cell/word_formal:formal._cover' on page 613 undefined + on input line 46401. -LaTeX Warning: Reference `cell/word_formal:formal._fair' on page 611 undefined -on input line 46396. +LaTeX Warning: Reference `cell/word_formal:formal._equiv' on page 613 undefined + on input line 46402. -LaTeX Warning: Reference `cell/word_formal:formal._ff' on page 611 undefined on - input line 46397. +LaTeX Warning: Reference `cell/word_formal:formal._fair' on page 613 undefined +on input line 46403. -LaTeX Warning: Reference `cell/word_formal:formal._initstate' on page 611 undef -ined on input line 46398. +LaTeX Warning: Reference `cell/word_formal:formal._initstate' on page 613 undef +ined on input line 46404. -LaTeX Warning: Reference `cell/word_formal:formal._live' on page 611 undefined -on input line 46399. +LaTeX Warning: Reference `cell/word_formal:formal._live' on page 613 undefined +on input line 46405. -LaTeX Warning: Reference `cell/word_formal:formal_tag._future_ff' on page 611 u -ndefined on input line 46400. - -LaTeX Warning: Reference `cell/word_formal:formal_tag._get_tag' on page 611 und -efined on input line 46401. - - -LaTeX Warning: Reference `cell/word_formal:formal_tag._original_tag' on page 61 -1 undefined on input line 46402. - - -LaTeX Warning: Reference `cell/word_formal:formal_tag._overwrite_tag' on page 6 -11 undefined on input line 46403. - - -LaTeX Warning: Reference `cell/word_formal:formal_tag._set_tag' on page 611 und -efined on input line 46404. - - -LaTeX Warning: Reference `cell/word_fsm:fsm._fsm' on page 611 undefined on inpu -t line 46405. - - -LaTeX Warning: Reference `cell/gate_other:gate_other.__TBUF_' on page 611 undef +LaTeX Warning: Reference `cell/gate_other:gate_other.__TBUF_' on page 613 undef ined on input line 46406. -LaTeX Warning: Reference `cell/word_logic:logic._lut' on page 611 undefined on +LaTeX Warning: Reference `cell/word_logic:logic._lut' on page 613 undefined on input line 46407. -LaTeX Warning: Reference `cell/word_logic:logic._sop' on page 611 undefined on +LaTeX Warning: Reference `cell/word_logic:logic._sop' on page 613 undefined on input line 46408. -LaTeX Warning: Reference `cell/word_mem:mem._mem' on page 611 undefined on inpu -t line 46409. +LaTeX Warning: Reference `cell/word_mux:mux._bmux' on page 613 undefined on inp +ut line 46409. -LaTeX Warning: Reference `cell/word_mem:mem._mem_v2' on page 611 undefined on i -nput line 46410. +LaTeX Warning: Reference `cell/word_mux:mux._bwmux' on page 613 undefined on in +put line 46410. -LaTeX Warning: Reference `cell/word_mem:mem._meminit' on page 611 undefined on -input line 46411. +LaTeX Warning: Reference `cell/word_mux:mux._demux' on page 613 undefined on in +put line 46411. -LaTeX Warning: Reference `cell/word_mem:mem._meminit_v2' on page 611 undefined -on input line 46412. +LaTeX Warning: Reference `cell/word_mux:mux._mux' on page 613 undefined on inpu +t line 46412. -LaTeX Warning: Reference `cell/word_mem:mem._memrd' on page 611 undefined on in -put line 46413. +LaTeX Warning: Reference `cell/word_mux:mux._pmux' on page 613 undefined on inp +ut line 46413. -LaTeX Warning: Reference `cell/word_mem:mem._memrd_v2' on page 611 undefined on - input line 46414. +LaTeX Warning: Reference `cell/word_mux:mux._tribuf' on page 613 undefined on i +nput line 46414. -LaTeX Warning: Reference `cell/word_mem:mem._memwr' on page 611 undefined on in -put line 46415. +LaTeX Warning: Reference `cell/word_spec:spec._specify2' on page 613 undefined +on input line 46415. -[611] +LaTeX Warning: Reference `cell/word_spec:spec._specify3' on page 613 undefined +on input line 46416. -LaTeX Warning: Reference `cell/word_mem:mem._memwr_v2' on page 612 undefined on - input line 46416. +LaTeX Warning: Reference `cell/word_spec:spec._specrule' on page 613 undefined +on input line 46417. -LaTeX Warning: Reference `cell/word_mux:mux._bmux' on page 612 undefined on inp -ut line 46417. +LaTeX Warning: Reference `cell/word_unary:unary._buf' on page 613 undefined on +input line 46418. -LaTeX Warning: Reference `cell/word_mux:mux._bwmux' on page 612 undefined on in -put line 46418. +LaTeX Warning: Reference `cell/word_unary:unary._logic_not' on page 613 undefin +ed on input line 46419. -LaTeX Warning: Reference `cell/word_mux:mux._demux' on page 612 undefined on in -put line 46419. +LaTeX Warning: Reference `cell/word_unary:unary._neg' on page 613 undefined on +input line 46420. -LaTeX Warning: Reference `cell/word_mux:mux._mux' on page 612 undefined on inpu -t line 46420. +LaTeX Warning: Reference `cell/word_unary:unary._not' on page 613 undefined on +input line 46421. -LaTeX Warning: Reference `cell/word_mux:mux._pmux' on page 612 undefined on inp -ut line 46421. +LaTeX Warning: Reference `cell/word_unary:unary._pos' on page 613 undefined on +input line 46422. -LaTeX Warning: Reference `cell/word_mux:mux._tribuf' on page 612 undefined on i -nput line 46422. +LaTeX Warning: Reference `cell/word_unary:unary._reduce_and' on page 613 undefi +ned on input line 46423. -LaTeX Warning: Reference `cell/word_reg:reg._adff' on page 612 undefined on inp -ut line 46423. +LaTeX Warning: Reference `cell/word_unary:unary._reduce_bool' on page 613 undef +ined on input line 46424. -LaTeX Warning: Reference `cell/word_reg:reg._adffe' on page 612 undefined on in -put line 46424. +LaTeX Warning: Reference `cell/word_unary:unary._reduce_or' on page 613 undefin +ed on input line 46425. -LaTeX Warning: Reference `cell/word_reg:reg._adlatch' on page 612 undefined on -input line 46425. +[613] -LaTeX Warning: Reference `cell/word_reg:reg._aldff' on page 612 undefined on in -put line 46426. +LaTeX Warning: Reference `cell/word_unary:unary._reduce_xnor' on page 614 undef +ined on input line 46426. -LaTeX Warning: Reference `cell/word_reg:reg._aldffe' on page 612 undefined on i -nput line 46427. +LaTeX Warning: Reference `cell/word_unary:unary._reduce_xor' on page 614 undefi +ned on input line 46427. -LaTeX Warning: Reference `cell/word_reg:reg._dff' on page 612 undefined on inpu -t line 46428. +LaTeX Warning: Reference `cell/word_wire:wire._concat' on page 614 undefined on + input line 46428. -LaTeX Warning: Reference `cell/word_reg:reg._dffe' on page 612 undefined on inp -ut line 46429. +LaTeX Warning: Reference `cell/word_wire:wire._slice' on page 614 undefined on +input line 46429. -LaTeX Warning: Reference `cell/word_reg:reg._dffsr' on page 612 undefined on in -put line 46430. +LaTeX Warning: Reference `cell/properties:x-aware' on page 614 undefined on inp +ut line 46432. -LaTeX Warning: Reference `cell/word_reg:reg._dffsre' on page 612 undefined on i -nput line 46431. +LaTeX Warning: Reference `cell/word_binary:binary._bweqx' on page 614 undefined + on input line 46433. -LaTeX Warning: Reference `cell/word_reg:reg._dlatch' on page 612 undefined on i -nput line 46432. +LaTeX Warning: Reference `cell/word_binary:binary._eqx' on page 614 undefined o +n input line 46434. -LaTeX Warning: Reference `cell/word_reg:reg._dlatchsr' on page 612 undefined on - input line 46433. +LaTeX Warning: Reference `cell/word_binary:binary._nex' on page 614 undefined o +n input line 46435. -LaTeX Warning: Reference `cell/word_reg:reg._sdff' on page 612 undefined on inp -ut line 46434. +LaTeX Warning: Reference `cell/properties:x-output' on page 614 undefined on in +put line 46436. -LaTeX Warning: Reference `cell/word_reg:reg._sdffce' on page 612 undefined on i -nput line 46435. +LaTeX Warning: Reference `cell/word_binary:binary._div' on page 614 undefined o +n input line 46437. -LaTeX Warning: Reference `cell/word_reg:reg._sdffe' on page 612 undefined on in -put line 46436. +LaTeX Warning: Reference `cell/word_binary:binary._mod' on page 614 undefined o +n input line 46438. -LaTeX Warning: Reference `cell/word_reg:reg._sr' on page 612 undefined on input - line 46437. +LaTeX Warning: Reference `cell/word_binary:binary._shiftx' on page 614 undefine +d on input line 46439. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NNN_' on page 612 un -defined on input line 46438. +LaTeX Warning: Reference `cell/word_mux:mux._pmux' on page 614 undefined on inp +ut line 46440. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NNP_' on page 612 un -defined on input line 46439. +[614] -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NPN_' on page 612 un -defined on input line 46440. +LaTeX Warning: Reference `cell/word_arith:arith._alu' on page 615 undefined on +input line 46446. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NPP_' on page 612 un -defined on input line 46441. +LaTeX Warning: Reference `cell/word_arith:arith._fa' on page 615 undefined on i +nput line 46447. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PNN_' on page 612 un -defined on input line 46442. +LaTeX Warning: Reference `cell/word_arith:arith._lcu' on page 615 undefined on +input line 46448. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PNP_' on page 612 un -defined on input line 46443. +LaTeX Warning: Reference `cell/word_arith:arith._macc' on page 615 undefined on + input line 46449. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PPN_' on page 612 un -defined on input line 46444. +LaTeX Warning: Reference `cell/word_binary:binary._add' on page 615 undefined o +n input line 46450. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PPP_' on page 612 un -defined on input line 46445. +LaTeX Warning: Reference `cell/word_binary:binary._and' on page 615 undefined o +n input line 46451. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_NN_' on page 612 unde -fined on input line 46446. +LaTeX Warning: Reference `cell/word_binary:binary._bweqx' on page 615 undefined + on input line 46452. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_NP_' on page 612 unde -fined on input line 46447. +LaTeX Warning: Reference `cell/word_binary:binary._div' on page 615 undefined o +n input line 46453. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_PN_' on page 612 unde -fined on input line 46448. +LaTeX Warning: Reference `cell/word_binary:binary._divfloor' on page 615 undefi +ned on input line 46454. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_PP_' on page 612 unde -fined on input line 46449. +LaTeX Warning: Reference `cell/word_binary:binary._eq' on page 615 undefined on + input line 46455. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0N_' on page 612 und -efined on input line 46450. +LaTeX Warning: Reference `cell/word_binary:binary._eqx' on page 615 undefined o +n input line 46456. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0P_' on page 612 und -efined on input line 46451. +LaTeX Warning: Reference `cell/word_binary:binary._ge' on page 615 undefined on + input line 46457. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1N_' on page 612 und -efined on input line 46452. +LaTeX Warning: Reference `cell/word_binary:binary._gt' on page 615 undefined on + input line 46458. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1P_' on page 612 und -efined on input line 46453. +LaTeX Warning: Reference `cell/word_binary:binary._le' on page 615 undefined on + input line 46459. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN_' on page 612 undef -ined on input line 46454. +LaTeX Warning: Reference `cell/word_binary:binary._logic_and' on page 615 undef +ined on input line 46460. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0N_' on page 612 und -efined on input line 46455. +LaTeX Warning: Reference `cell/word_binary:binary._logic_or' on page 615 undefi +ned on input line 46461. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0P_' on page 612 und -efined on input line 46456. +LaTeX Warning: Reference `cell/word_binary:binary._lt' on page 615 undefined on + input line 46462. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1N_' on page 612 und -efined on input line 46457. +LaTeX Warning: Reference `cell/word_binary:binary._mod' on page 615 undefined o +n input line 46463. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1P_' on page 612 und -efined on input line 46458. +LaTeX Warning: Reference `cell/word_binary:binary._modfloor' on page 615 undefi +ned on input line 46464. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP_' on page 612 undef -ined on input line 46459. +LaTeX Warning: Reference `cell/word_binary:binary._mul' on page 615 undefined o +n input line 46465. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0N_' on page 612 und -efined on input line 46460. +LaTeX Warning: Reference `cell/word_binary:binary._ne' on page 615 undefined on + input line 46466. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0P_' on page 612 und -efined on input line 46461. +LaTeX Warning: Reference `cell/word_binary:binary._nex' on page 615 undefined o +n input line 46467. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1N_' on page 612 und -efined on input line 46462. +LaTeX Warning: Reference `cell/word_binary:binary._or' on page 615 undefined on + input line 46468. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1P_' on page 612 und -efined on input line 46463. +LaTeX Warning: Reference `cell/word_binary:binary._pow' on page 615 undefined o +n input line 46469. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN_' on page 612 undef -ined on input line 46464. +LaTeX Warning: Reference `cell/word_binary:binary._shift' on page 615 undefined + on input line 46470. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0N_' on page 612 und -efined on input line 46465. +LaTeX Warning: Reference `cell/word_binary:binary._shiftx' on page 615 undefine +d on input line 46471. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0P_' on page 612 und -efined on input line 46466. +LaTeX Warning: Reference `cell/word_binary:binary._shl' on page 615 undefined o +n input line 46472. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1N_' on page 612 und -efined on input line 46467. +LaTeX Warning: Reference `cell/word_binary:binary._shr' on page 615 undefined o +n input line 46473. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1P_' on page 612 und -efined on input line 46468. +LaTeX Warning: Reference `cell/word_binary:binary._sshl' on page 615 undefined +on input line 46474. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP_' on page 612 undef -ined on input line 46469. +LaTeX Warning: Reference `cell/word_binary:binary._sshr' on page 615 undefined +on input line 46475. +LaTeX Warning: Reference `cell/word_binary:binary._sub' on page 615 undefined o +n input line 46476. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNN_' on page 612 u -ndefined on input line 46470. +LaTeX Warning: Reference `cell/word_binary:binary._xnor' on page 615 undefined +on input line 46477. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNP_' on page 612 u -ndefined on input line 46471. +LaTeX Warning: Reference `cell/word_binary:binary._xor' on page 615 undefined o +n input line 46478. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPN_' on page 612 u -ndefined on input line 46472. +LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__ANDNOT_' on p +age 615 undefined on input line 46479. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPP_' on page 612 u -ndefined on input line 46473. +LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__AOI3_' on pag +e 615 undefined on input line 46480. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNN_' on page 612 u -ndefined on input line 46474. +LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__AOI4_' on pag +e 615 undefined on input line 46481. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNP_' on page 612 u -ndefined on input line 46475. +LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX16_' on pa +ge 615 undefined on input line 46482. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPN_' on page 612 u -ndefined on input line 46476. +LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX4_' on pag +e 615 undefined on input line 46483. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPP_' on page 612 u -ndefined on input line 46477. +LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX8_' on pag +e 615 undefined on input line 46484. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNN_' on page 612 u -ndefined on input line 46478. +LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__NMUX_' on pag +e 615 undefined on input line 46485. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNP_' on page 612 u -ndefined on input line 46479. +LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__OAI3_' on pag +e 615 undefined on input line 46486. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPN_' on page 612 u -ndefined on input line 46480. +LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__OAI4_' on pag +e 615 undefined on input line 46487. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPP_' on page 612 u -ndefined on input line 46481. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNN_' on page 612 u -ndefined on input line 46482. +LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__ORNOT_' on pa +ge 615 undefined on input line 46488. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNP_' on page 612 u -ndefined on input line 46483. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__AND_' on page 615 + undefined on input line 46489. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPN_' on page 612 u -ndefined on input line 46484. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__BUF_' on page 615 + undefined on input line 46490. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPP_' on page 612 u -ndefined on input line 46485. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__MUX_' on page 615 + undefined on input line 46491. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNN_' on page 612 und -efined on input line 46486. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NAND_' on page 61 +5 undefined on input line 46492. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNP_' on page 612 und -efined on input line 46487. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOR_' on page 615 + undefined on input line 46493. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPN_' on page 612 und -efined on input line 46488. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOT_' on page 615 + undefined on input line 46494. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPP_' on page 612 und -efined on input line 46489. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__OR_' on page 615 +undefined on input line 46495. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNN_' on page 612 und -efined on input line 46490. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__XNOR_' on page 61 +5 undefined on input line 46496. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNP_' on page 612 und -efined on input line 46491. +LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__XOR_' on page 615 + undefined on input line 46497. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPN_' on page 612 und -efined on input line 46492. +LaTeX Warning: Reference `cell/word_debug:debug._check' on page 615 undefined o +n input line 46498. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPP_' on page 612 und -efined on input line 46493. +LaTeX Warning: Reference `cell/word_debug:debug._print' on page 615 undefined o +n input line 46499. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NN0_' on page 612 undef -ined on input line 46494. +LaTeX Warning: Reference `cell/word_debug:debug._scopeinfo' on page 615 undefin +ed on input line 46500. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NN1_' on page 612 undef -ined on input line 46495. +LaTeX Warning: Reference `cell/word_formal:formal._allconst' on page 615 undefi +ned on input line 46501. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NP0_' on page 612 undef -ined on input line 46496. +LaTeX Warning: Reference `cell/word_formal:formal._allseq' on page 615 undefine +d on input line 46502. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NP1_' on page 612 undef -ined on input line 46497. +LaTeX Warning: Reference `cell/word_formal:formal._anyconst' on page 615 undefi +ned on input line 46503. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 612 undefin -ed on input line 46498. +LaTeX Warning: Reference `cell/word_formal:formal._anyinit' on page 615 undefin +ed on input line 46504. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PN0_' on page 612 undef -ined on input line 46499. +LaTeX Warning: Reference `cell/word_formal:formal._anyseq' on page 615 undefine +d on input line 46505. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PN1_' on page 612 undef -ined on input line 46500. +LaTeX Warning: Reference `cell/word_formal:formal._assert' on page 615 undefine +d on input line 46506. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PP0_' on page 612 undef -ined on input line 46501. +LaTeX Warning: Reference `cell/word_formal:formal._assume' on page 615 undefine +d on input line 46507. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PP1_' on page 612 undef -ined on input line 46502. +LaTeX Warning: Reference `cell/word_formal:formal._cover' on page 615 undefined + on input line 46508. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 612 undefin -ed on input line 46503. +LaTeX Warning: Reference `cell/word_formal:formal._equiv' on page 615 undefined + on input line 46509. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__FF_' on page 612 undefined -on input line 46504. +LaTeX Warning: Reference `cell/word_formal:formal._fair' on page 615 undefined +on input line 46510. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0N_' on page 612 u -ndefined on input line 46505. +LaTeX Warning: Reference `cell/word_formal:formal._ff' on page 615 undefined on + input line 46511. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0P_' on page 612 u -ndefined on input line 46506. +LaTeX Warning: Reference `cell/word_formal:formal._initstate' on page 615 undef +ined on input line 46512. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1N_' on page 612 u -ndefined on input line 46507. +LaTeX Warning: Reference `cell/word_formal:formal._live' on page 615 undefined +on input line 46513. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1P_' on page 612 u -ndefined on input line 46508. +LaTeX Warning: Reference `cell/word_formal:formal_tag._future_ff' on page 615 u +ndefined on input line 46514. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0N_' on page 612 u -ndefined on input line 46509. +LaTeX Warning: Reference `cell/word_formal:formal_tag._get_tag' on page 615 und +efined on input line 46515. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0P_' on page 612 u -ndefined on input line 46510. +LaTeX Warning: Reference `cell/word_formal:formal_tag._original_tag' on page 61 +5 undefined on input line 46516. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1N_' on page 612 u -ndefined on input line 46511. +LaTeX Warning: Reference `cell/word_formal:formal_tag._overwrite_tag' on page 6 +15 undefined on input line 46517. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1P_' on page 612 u -ndefined on input line 46512. +LaTeX Warning: Reference `cell/word_formal:formal_tag._set_tag' on page 615 und +efined on input line 46518. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0N_' on page 612 u -ndefined on input line 46513. +LaTeX Warning: Reference `cell/word_fsm:fsm._fsm' on page 615 undefined on inpu +t line 46519. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0P_' on page 612 u -ndefined on input line 46514. +LaTeX Warning: Reference `cell/gate_other:gate_other.__TBUF_' on page 615 undef +ined on input line 46520. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1N_' on page 612 u -ndefined on input line 46515. +LaTeX Warning: Reference `cell/word_logic:logic._lut' on page 615 undefined on +input line 46521. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1P_' on page 612 u -ndefined on input line 46516. +LaTeX Warning: Reference `cell/word_logic:logic._sop' on page 615 undefined on +input line 46522. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0N_' on page 612 u -ndefined on input line 46517. +LaTeX Warning: Reference `cell/word_mem:mem._mem' on page 615 undefined on inpu +t line 46523. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0P_' on page 612 u -ndefined on input line 46518. +LaTeX Warning: Reference `cell/word_mem:mem._mem_v2' on page 615 undefined on i +nput line 46524. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1N_' on page 612 u -ndefined on input line 46519. +LaTeX Warning: Reference `cell/word_mem:mem._meminit' on page 615 undefined on +input line 46525. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1P_' on page 612 u -ndefined on input line 46520. +LaTeX Warning: Reference `cell/word_mem:mem._meminit_v2' on page 615 undefined +on input line 46526. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0N_' on page 612 un -defined on input line 46521. +LaTeX Warning: Reference `cell/word_mem:mem._memrd' on page 615 undefined on in +put line 46527. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0P_' on page 612 un -defined on input line 46522. +LaTeX Warning: Reference `cell/word_mem:mem._memrd_v2' on page 615 undefined on + input line 46528. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1N_' on page 612 un -defined on input line 46523. +LaTeX Warning: Reference `cell/word_mem:mem._memwr' on page 615 undefined on in +put line 46529. -[612] +[615] -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1P_' on page 613 un -defined on input line 46524. +LaTeX Warning: Reference `cell/word_mem:mem._memwr_v2' on page 616 undefined on + input line 46530. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0N_' on page 613 un -defined on input line 46525. +LaTeX Warning: Reference `cell/word_mux:mux._bmux' on page 616 undefined on inp +ut line 46531. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0P_' on page 613 un -defined on input line 46526. +LaTeX Warning: Reference `cell/word_mux:mux._bwmux' on page 616 undefined on in +put line 46532. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1N_' on page 613 un -defined on input line 46527. +LaTeX Warning: Reference `cell/word_mux:mux._demux' on page 616 undefined on in +put line 46533. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1P_' on page 613 un -defined on input line 46528. +LaTeX Warning: Reference `cell/word_mux:mux._mux' on page 616 undefined on inpu +t line 46534. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0N_' on page 613 un -defined on input line 46529. +LaTeX Warning: Reference `cell/word_mux:mux._pmux' on page 616 undefined on inp +ut line 46535. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0P_' on page 613 un -defined on input line 46530. +LaTeX Warning: Reference `cell/word_mux:mux._tribuf' on page 616 undefined on i +nput line 46536. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1N_' on page 613 un -defined on input line 46531. +LaTeX Warning: Reference `cell/word_reg:reg._adff' on page 616 undefined on inp +ut line 46537. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1P_' on page 613 un -defined on input line 46532. +LaTeX Warning: Reference `cell/word_reg:reg._adffe' on page 616 undefined on in +put line 46538. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0N_' on page 613 un -defined on input line 46533. +LaTeX Warning: Reference `cell/word_reg:reg._adlatch' on page 616 undefined on +input line 46539. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0P_' on page 613 un -defined on input line 46534. +LaTeX Warning: Reference `cell/word_reg:reg._aldff' on page 616 undefined on in +put line 46540. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1N_' on page 613 un -defined on input line 46535. +LaTeX Warning: Reference `cell/word_reg:reg._aldffe' on page 616 undefined on i +nput line 46541. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1P_' on page 613 un -defined on input line 46536. +LaTeX Warning: Reference `cell/word_reg:reg._dff' on page 616 undefined on inpu +t line 46542. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NN0_' on page 613 unde -fined on input line 46537. +LaTeX Warning: Reference `cell/word_reg:reg._dffe' on page 616 undefined on inp +ut line 46543. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NN1_' on page 613 unde -fined on input line 46538. +LaTeX Warning: Reference `cell/word_reg:reg._dffsr' on page 616 undefined on in +put line 46544. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NP0_' on page 613 unde -fined on input line 46539. +LaTeX Warning: Reference `cell/word_reg:reg._dffsre' on page 616 undefined on i +nput line 46545. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NP1_' on page 613 unde -fined on input line 46540. +LaTeX Warning: Reference `cell/word_reg:reg._dlatch' on page 616 undefined on i +nput line 46546. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PN0_' on page 613 unde -fined on input line 46541. +LaTeX Warning: Reference `cell/word_reg:reg._dlatchsr' on page 616 undefined on + input line 46547. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PN1_' on page 613 unde -fined on input line 46542. +LaTeX Warning: Reference `cell/word_reg:reg._sdff' on page 616 undefined on inp +ut line 46548. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PP0_' on page 613 unde -fined on input line 46543. +LaTeX Warning: Reference `cell/word_reg:reg._sdffce' on page 616 undefined on i +nput line 46549. -LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PP1_' on page 613 unde -fined on input line 46544. +LaTeX Warning: Reference `cell/word_reg:reg._sdffe' on page 616 undefined on in +put line 46550. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNN_' on pag -e 613 undefined on input line 46545. +LaTeX Warning: Reference `cell/word_reg:reg._sr' on page 616 undefined on input + line 46551. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNP_' on pag -e 613 undefined on input line 46546. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NNN_' on page 616 un +defined on input line 46552. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPN_' on pag -e 613 undefined on input line 46547. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NNP_' on page 616 un +defined on input line 46553. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPP_' on pag -e 613 undefined on input line 46548. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NPN_' on page 616 un +defined on input line 46554. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNN_' on pag -e 613 undefined on input line 46549. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NPP_' on page 616 un +defined on input line 46555. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNP_' on pag -e 613 undefined on input line 46550. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PNN_' on page 616 un +defined on input line 46556. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPN_' on pag -e 613 undefined on input line 46551. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PNP_' on page 616 un +defined on input line 46557. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPP_' on pag -e 613 undefined on input line 46552. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PPN_' on page 616 un +defined on input line 46558. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN0_' on page -613 undefined on input line 46553. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PPP_' on page 616 un +defined on input line 46559. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN1_' on page -613 undefined on input line 46554. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_NN_' on page 616 unde +fined on input line 46560. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP0_' on page -613 undefined on input line 46555. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_NP_' on page 616 unde +fined on input line 46561. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP1_' on page -613 undefined on input line 46556. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_PN_' on page 616 unde +fined on input line 46562. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_N_' on page 61 -3 undefined on input line 46557. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_PP_' on page 616 unde +fined on input line 46563. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN0_' on page -613 undefined on input line 46558. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0N_' on page 616 und +efined on input line 46564. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN1_' on page -613 undefined on input line 46559. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0P_' on page 616 und +efined on input line 46565. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP0_' on page -613 undefined on input line 46560. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1N_' on page 616 und +efined on input line 46566. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP1_' on page -613 undefined on input line 46561. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1P_' on page 616 und +efined on input line 46567. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_P_' on page 61 -3 undefined on input line 46562. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN_' on page 616 undef +ined on input line 46568. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_NN_' on page 613 u -ndefined on input line 46563. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0N_' on page 616 und +efined on input line 46569. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_NP_' on page 613 u -ndefined on input line 46564. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0P_' on page 616 und +efined on input line 46570. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_PN_' on page 613 u -ndefined on input line 46565. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1N_' on page 616 und +efined on input line 46571. -LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_PP_' on page 613 u -ndefined on input line 46566. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1P_' on page 616 und +efined on input line 46572. -LaTeX Warning: Reference `cell/word_spec:spec._specify2' on page 613 undefined -on input line 46567. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP_' on page 616 undef +ined on input line 46573. -LaTeX Warning: Reference `cell/word_spec:spec._specify3' on page 613 undefined -on input line 46568. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0N_' on page 616 und +efined on input line 46574. -LaTeX Warning: Reference `cell/word_spec:spec._specrule' on page 613 undefined -on input line 46569. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0P_' on page 616 und +efined on input line 46575. -LaTeX Warning: Reference `cell/word_unary:unary._buf' on page 613 undefined on -input line 46570. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1N_' on page 616 und +efined on input line 46576. -LaTeX Warning: Reference `cell/word_unary:unary._logic_not' on page 613 undefin -ed on input line 46571. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1P_' on page 616 und +efined on input line 46577. -LaTeX Warning: Reference `cell/word_unary:unary._neg' on page 613 undefined on -input line 46572. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN_' on page 616 undef +ined on input line 46578. -LaTeX Warning: Reference `cell/word_unary:unary._not' on page 613 undefined on -input line 46573. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0N_' on page 616 und +efined on input line 46579. -LaTeX Warning: Reference `cell/word_unary:unary._pos' on page 613 undefined on -input line 46574. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0P_' on page 616 und +efined on input line 46580. -LaTeX Warning: Reference `cell/word_unary:unary._reduce_and' on page 613 undefi -ned on input line 46575. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1N_' on page 616 und +efined on input line 46581. -LaTeX Warning: Reference `cell/word_unary:unary._reduce_bool' on page 613 undef -ined on input line 46576. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1P_' on page 616 und +efined on input line 46582. -LaTeX Warning: Reference `cell/word_unary:unary._reduce_or' on page 613 undefin -ed on input line 46577. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP_' on page 616 undef +ined on input line 46583. -LaTeX Warning: Reference `cell/word_unary:unary._reduce_xnor' on page 613 undef -ined on input line 46578. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNN_' on page 616 u +ndefined on input line 46584. -LaTeX Warning: Reference `cell/word_unary:unary._reduce_xor' on page 613 undefi -ned on input line 46579. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNP_' on page 616 u +ndefined on input line 46585. -LaTeX Warning: Reference `cell/word_wire:wire._concat' on page 613 undefined on - input line 46580. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPN_' on page 616 u +ndefined on input line 46586. -LaTeX Warning: Reference `cell/word_wire:wire._slice' on page 613 undefined on -input line 46581. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPP_' on page 616 u +ndefined on input line 46587. -[613] -[614] +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNN_' on page 616 u +ndefined on input line 46588. -LaTeX Warning: Reference `cell/properties:is_evaluable' on page 615 undefined o -n input line 46587. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNP_' on page 616 u +ndefined on input line 46589. -LaTeX Warning: Reference `cell/word_arith:arith._alu' on page 615 undefined on -input line 46588. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPN_' on page 616 u +ndefined on input line 46590. -LaTeX Warning: Reference `cell/word_arith:arith._fa' on page 615 undefined on i -nput line 46589. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPP_' on page 616 u +ndefined on input line 46591. -LaTeX Warning: Reference `cell/word_arith:arith._lcu' on page 615 undefined on -input line 46590. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNN_' on page 616 u +ndefined on input line 46592. -LaTeX Warning: Reference `cell/word_arith:arith._macc' on page 615 undefined on - input line 46591. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNP_' on page 616 u +ndefined on input line 46593. -LaTeX Warning: Reference `cell/word_binary:binary._add' on page 615 undefined o -n input line 46592. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPN_' on page 616 u +ndefined on input line 46594. -LaTeX Warning: Reference `cell/word_binary:binary._and' on page 615 undefined o -n input line 46593. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPP_' on page 616 u +ndefined on input line 46595. -LaTeX Warning: Reference `cell/word_binary:binary._bweqx' on page 615 undefined - on input line 46594. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNN_' on page 616 u +ndefined on input line 46596. -LaTeX Warning: Reference `cell/word_binary:binary._div' on page 615 undefined o -n input line 46595. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNP_' on page 616 u +ndefined on input line 46597. -LaTeX Warning: Reference `cell/word_binary:binary._divfloor' on page 615 undefi -ned on input line 46596. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPN_' on page 616 u +ndefined on input line 46598. -LaTeX Warning: Reference `cell/word_binary:binary._eq' on page 615 undefined on - input line 46597. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPP_' on page 616 u +ndefined on input line 46599. -LaTeX Warning: Reference `cell/word_binary:binary._eqx' on page 615 undefined o -n input line 46598. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNN_' on page 616 und +efined on input line 46600. -LaTeX Warning: Reference `cell/word_binary:binary._ge' on page 615 undefined on - input line 46599. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNP_' on page 616 und +efined on input line 46601. -LaTeX Warning: Reference `cell/word_binary:binary._gt' on page 615 undefined on - input line 46600. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPN_' on page 616 und +efined on input line 46602. -LaTeX Warning: Reference `cell/word_binary:binary._le' on page 615 undefined on - input line 46601. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPP_' on page 616 und +efined on input line 46603. -LaTeX Warning: Reference `cell/word_binary:binary._logic_and' on page 615 undef -ined on input line 46602. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNN_' on page 616 und +efined on input line 46604. -LaTeX Warning: Reference `cell/word_binary:binary._logic_or' on page 615 undefi -ned on input line 46603. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNP_' on page 616 und +efined on input line 46605. -LaTeX Warning: Reference `cell/word_binary:binary._lt' on page 615 undefined on - input line 46604. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPN_' on page 616 und +efined on input line 46606. -LaTeX Warning: Reference `cell/word_binary:binary._mod' on page 615 undefined o -n input line 46605. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPP_' on page 616 und +efined on input line 46607. -LaTeX Warning: Reference `cell/word_binary:binary._modfloor' on page 615 undefi -ned on input line 46606. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NN0_' on page 616 undef +ined on input line 46608. -LaTeX Warning: Reference `cell/word_binary:binary._mul' on page 615 undefined o -n input line 46607. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NN1_' on page 616 undef +ined on input line 46609. -LaTeX Warning: Reference `cell/word_binary:binary._ne' on page 615 undefined on - input line 46608. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NP0_' on page 616 undef +ined on input line 46610. -LaTeX Warning: Reference `cell/word_binary:binary._nex' on page 615 undefined o -n input line 46609. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NP1_' on page 616 undef +ined on input line 46611. -LaTeX Warning: Reference `cell/word_binary:binary._or' on page 615 undefined on - input line 46610. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 616 undefin +ed on input line 46612. -LaTeX Warning: Reference `cell/word_binary:binary._pow' on page 615 undefined o -n input line 46611. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PN0_' on page 616 undef +ined on input line 46613. -LaTeX Warning: Reference `cell/word_binary:binary._shift' on page 615 undefined - on input line 46612. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PN1_' on page 616 undef +ined on input line 46614. -LaTeX Warning: Reference `cell/word_binary:binary._shiftx' on page 615 undefine -d on input line 46613. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PP0_' on page 616 undef +ined on input line 46615. -LaTeX Warning: Reference `cell/word_binary:binary._shl' on page 615 undefined o -n input line 46614. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PP1_' on page 616 undef +ined on input line 46616. -LaTeX Warning: Reference `cell/word_binary:binary._shr' on page 615 undefined o -n input line 46615. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 616 undefin +ed on input line 46617. -LaTeX Warning: Reference `cell/word_binary:binary._sshl' on page 615 undefined -on input line 46616. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__FF_' on page 616 undefined +on input line 46618. -LaTeX Warning: Reference `cell/word_binary:binary._sshr' on page 615 undefined -on input line 46617. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0N_' on page 616 u +ndefined on input line 46619. -LaTeX Warning: Reference `cell/word_binary:binary._sub' on page 615 undefined o -n input line 46618. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0P_' on page 616 u +ndefined on input line 46620. -LaTeX Warning: Reference `cell/word_binary:binary._xnor' on page 615 undefined -on input line 46619. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1N_' on page 616 u +ndefined on input line 46621. -LaTeX Warning: Reference `cell/word_binary:binary._xor' on page 615 undefined o -n input line 46620. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1P_' on page 616 u +ndefined on input line 46622. -LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__ANDNOT_' on p -age 615 undefined on input line 46621. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0N_' on page 616 u +ndefined on input line 46623. -LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__AOI3_' on pag -e 615 undefined on input line 46622. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0P_' on page 616 u +ndefined on input line 46624. -LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__AOI4_' on pag -e 615 undefined on input line 46623. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1N_' on page 616 u +ndefined on input line 46625. -LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX16_' on pa -ge 615 undefined on input line 46624. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1P_' on page 616 u +ndefined on input line 46626. -LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX4_' on pag -e 615 undefined on input line 46625. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0N_' on page 616 u +ndefined on input line 46627. -LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX8_' on pag -e 615 undefined on input line 46626. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0P_' on page 616 u +ndefined on input line 46628. -LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__NMUX_' on pag -e 615 undefined on input line 46627. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1N_' on page 616 u +ndefined on input line 46629. -LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__OAI3_' on pag -e 615 undefined on input line 46628. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1P_' on page 616 u +ndefined on input line 46630. -LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__OAI4_' on pag -e 615 undefined on input line 46629. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0N_' on page 616 u +ndefined on input line 46631. -LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__ORNOT_' on pa -ge 615 undefined on input line 46630. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0P_' on page 616 u +ndefined on input line 46632. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__AND_' on page 615 - undefined on input line 46631. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1N_' on page 616 u +ndefined on input line 46633. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__BUF_' on page 615 - undefined on input line 46632. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1P_' on page 616 u +ndefined on input line 46634. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__MUX_' on page 615 - undefined on input line 46633. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0N_' on page 616 un +defined on input line 46635. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NAND_' on page 61 -5 undefined on input line 46634. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0P_' on page 616 un +defined on input line 46636. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOR_' on page 615 - undefined on input line 46635. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1N_' on page 616 un +defined on input line 46637. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOT_' on page 615 - undefined on input line 46636. +[616] +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1P_' on page 617 un +defined on input line 46638. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__OR_' on page 615 -undefined on input line 46637. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0N_' on page 617 un +defined on input line 46639. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__XNOR_' on page 61 -5 undefined on input line 46638. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0P_' on page 617 un +defined on input line 46640. -LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__XOR_' on page 615 - undefined on input line 46639. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1N_' on page 617 un +defined on input line 46641. -LaTeX Warning: Reference `cell/word_formal:formal._allconst' on page 615 undefi -ned on input line 46640. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1P_' on page 617 un +defined on input line 46642. -LaTeX Warning: Reference `cell/word_formal:formal._allseq' on page 615 undefine -d on input line 46641. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0N_' on page 617 un +defined on input line 46643. -LaTeX Warning: Reference `cell/word_formal:formal._anyconst' on page 615 undefi -ned on input line 46642. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0P_' on page 617 un +defined on input line 46644. -LaTeX Warning: Reference `cell/word_formal:formal._anyseq' on page 615 undefine -d on input line 46643. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1N_' on page 617 un +defined on input line 46645. -LaTeX Warning: Reference `cell/word_formal:formal._assert' on page 615 undefine -d on input line 46644. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1P_' on page 617 un +defined on input line 46646. -LaTeX Warning: Reference `cell/word_formal:formal._assume' on page 615 undefine -d on input line 46645. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0N_' on page 617 un +defined on input line 46647. -LaTeX Warning: Reference `cell/word_formal:formal._cover' on page 615 undefined - on input line 46646. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0P_' on page 617 un +defined on input line 46648. -LaTeX Warning: Reference `cell/word_formal:formal._equiv' on page 615 undefined - on input line 46647. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1N_' on page 617 un +defined on input line 46649. -LaTeX Warning: Reference `cell/word_formal:formal._fair' on page 615 undefined -on input line 46648. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1P_' on page 617 un +defined on input line 46650. -LaTeX Warning: Reference `cell/word_formal:formal._initstate' on page 615 undef -ined on input line 46649. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NN0_' on page 617 unde +fined on input line 46651. -LaTeX Warning: Reference `cell/word_formal:formal._live' on page 615 undefined -on input line 46650. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NN1_' on page 617 unde +fined on input line 46652. -LaTeX Warning: Reference `cell/gate_other:gate_other.__TBUF_' on page 615 undef -ined on input line 46651. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NP0_' on page 617 unde +fined on input line 46653. -LaTeX Warning: Reference `cell/word_logic:logic._lut' on page 615 undefined on -input line 46652. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NP1_' on page 617 unde +fined on input line 46654. -LaTeX Warning: Reference `cell/word_logic:logic._sop' on page 615 undefined on -input line 46653. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PN0_' on page 617 unde +fined on input line 46655. -LaTeX Warning: Reference `cell/word_mux:mux._bmux' on page 615 undefined on inp -ut line 46654. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PN1_' on page 617 unde +fined on input line 46656. -LaTeX Warning: Reference `cell/word_mux:mux._bwmux' on page 615 undefined on in -put line 46655. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PP0_' on page 617 unde +fined on input line 46657. -LaTeX Warning: Reference `cell/word_mux:mux._demux' on page 615 undefined on in -put line 46656. +LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PP1_' on page 617 unde +fined on input line 46658. -LaTeX Warning: Reference `cell/word_mux:mux._mux' on page 615 undefined on inpu -t line 46657. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNN_' on pag +e 617 undefined on input line 46659. -LaTeX Warning: Reference `cell/word_mux:mux._pmux' on page 615 undefined on inp -ut line 46658. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNP_' on pag +e 617 undefined on input line 46660. -LaTeX Warning: Reference `cell/word_mux:mux._tribuf' on page 615 undefined on i -nput line 46659. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPN_' on pag +e 617 undefined on input line 46661. -LaTeX Warning: Reference `cell/word_spec:spec._specify2' on page 615 undefined -on input line 46660. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPP_' on pag +e 617 undefined on input line 46662. -LaTeX Warning: Reference `cell/word_spec:spec._specify3' on page 615 undefined -on input line 46661. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNN_' on pag +e 617 undefined on input line 46663. -LaTeX Warning: Reference `cell/word_spec:spec._specrule' on page 615 undefined -on input line 46662. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNP_' on pag +e 617 undefined on input line 46664. -LaTeX Warning: Reference `cell/word_unary:unary._buf' on page 615 undefined on -input line 46663. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPN_' on pag +e 617 undefined on input line 46665. -LaTeX Warning: Reference `cell/word_unary:unary._logic_not' on page 615 undefin -ed on input line 46664. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPP_' on pag +e 617 undefined on input line 46666. -LaTeX Warning: Reference `cell/word_unary:unary._neg' on page 615 undefined on -input line 46665. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN0_' on page +617 undefined on input line 46667. -LaTeX Warning: Reference `cell/word_unary:unary._not' on page 615 undefined on -input line 46666. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN1_' on page +617 undefined on input line 46668. -LaTeX Warning: Reference `cell/word_unary:unary._pos' on page 615 undefined on -input line 46667. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP0_' on page +617 undefined on input line 46669. -LaTeX Warning: Reference `cell/word_unary:unary._reduce_and' on page 615 undefi -ned on input line 46668. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP1_' on page +617 undefined on input line 46670. -LaTeX Warning: Reference `cell/word_unary:unary._reduce_bool' on page 615 undef -ined on input line 46669. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_N_' on page 61 +7 undefined on input line 46671. -LaTeX Warning: Reference `cell/word_unary:unary._reduce_or' on page 615 undefin -ed on input line 46670. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN0_' on page +617 undefined on input line 46672. -[615] -LaTeX Warning: Reference `cell/word_unary:unary._reduce_xnor' on page 616 undef -ined on input line 46671. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN1_' on page +617 undefined on input line 46673. -LaTeX Warning: Reference `cell/word_unary:unary._reduce_xor' on page 616 undefi -ned on input line 46672. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP0_' on page +617 undefined on input line 46674. -LaTeX Warning: Reference `cell/word_wire:wire._concat' on page 616 undefined on - input line 46673. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP1_' on page +617 undefined on input line 46675. -LaTeX Warning: Reference `cell/word_wire:wire._slice' on page 616 undefined on -input line 46674. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_P_' on page 61 +7 undefined on input line 46676. -LaTeX Warning: Reference `cell/properties:x-aware' on page 616 undefined on inp -ut line 46677. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_NN_' on page 617 u +ndefined on input line 46677. -LaTeX Warning: Reference `cell/word_binary:binary._bweqx' on page 616 undefined - on input line 46678. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_NP_' on page 617 u +ndefined on input line 46678. -LaTeX Warning: Reference `cell/word_binary:binary._eqx' on page 616 undefined o -n input line 46679. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_PN_' on page 617 u +ndefined on input line 46679. -LaTeX Warning: Reference `cell/word_binary:binary._nex' on page 616 undefined o -n input line 46680. +LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_PP_' on page 617 u +ndefined on input line 46680. -LaTeX Warning: Reference `cell/properties:x-output' on page 616 undefined on in -put line 46681. +LaTeX Warning: Reference `cell/word_spec:spec._specify2' on page 617 undefined +on input line 46681. -LaTeX Warning: Reference `cell/word_binary:binary._div' on page 616 undefined o -n input line 46682. +LaTeX Warning: Reference `cell/word_spec:spec._specify3' on page 617 undefined +on input line 46682. -LaTeX Warning: Reference `cell/word_binary:binary._mod' on page 616 undefined o -n input line 46683. +LaTeX Warning: Reference `cell/word_spec:spec._specrule' on page 617 undefined +on input line 46683. -LaTeX Warning: Reference `cell/word_binary:binary._shiftx' on page 616 undefine -d on input line 46684. +LaTeX Warning: Reference `cell/word_unary:unary._buf' on page 617 undefined on +input line 46684. -LaTeX Warning: Reference `cell/word_mux:mux._pmux' on page 616 undefined on inp -ut line 46685. +LaTeX Warning: Reference `cell/word_unary:unary._logic_not' on page 617 undefin +ed on input line 46685. +LaTeX Warning: Reference `cell/word_unary:unary._neg' on page 617 undefined on +input line 46686. -[616] -LaTeX Warning: Reference `cmd/abc:cmd-abc' on page 617 undefined on input line -46691. +LaTeX Warning: Reference `cell/word_unary:unary._not' on page 617 undefined on +input line 46687. -LaTeX Warning: Reference `cmd/abc9:cmd-abc9' on page 617 undefined on input lin -e 46692. +LaTeX Warning: Reference `cell/word_unary:unary._pos' on page 617 undefined on +input line 46688. -LaTeX Warning: Reference `cmd/abc9_exe:cmd-abc9_exe' on page 617 undefined on i -nput line 46693. +LaTeX Warning: Reference `cell/word_unary:unary._reduce_and' on page 617 undefi +ned on input line 46689. -LaTeX Warning: Reference `cmd/abc9_ops:cmd-abc9_ops' on page 617 undefined on i -nput line 46694. +LaTeX Warning: Reference `cell/word_unary:unary._reduce_bool' on page 617 undef +ined on input line 46690. -LaTeX Warning: Reference `cmd/abc_new:cmd-abc_new' on page 617 undefined on inp -ut line 46695. +LaTeX Warning: Reference `cell/word_unary:unary._reduce_or' on page 617 undefin +ed on input line 46691. -LaTeX Warning: Reference `cmd/abstract:cmd-abstract' on page 617 undefined on i -nput line 46696. +LaTeX Warning: Reference `cell/word_unary:unary._reduce_xnor' on page 617 undef +ined on input line 46692. -LaTeX Warning: Reference `cmd/add:cmd-add' on page 617 undefined on input line -46697. +LaTeX Warning: Reference `cell/word_unary:unary._reduce_xor' on page 617 undefi +ned on input line 46693. -LaTeX Warning: Reference `cmd/aigmap:cmd-aigmap' on page 617 undefined on input - line 46698. +LaTeX Warning: Reference `cell/word_wire:wire._concat' on page 617 undefined on + input line 46694. -LaTeX Warning: Reference `cmd/alumacc:cmd-alumacc' on page 617 undefined on inp -ut line 46699. +LaTeX Warning: Reference `cell/word_wire:wire._slice' on page 617 undefined on +input line 46695. -LaTeX Warning: Reference `cmd/anlogic_eqn:cmd-anlogic_eqn' on page 617 undefine -d on input line 46700. +[617] +[618] -LaTeX Warning: Reference `cmd/anlogic_fixcarry:cmd-anlogic_fixcarry' on page 61 -7 undefined on input line 46701. +LaTeX Warning: Reference `cmd/abc:cmd-abc' on page 619 undefined on input line +46701. -LaTeX Warning: Reference `cmd/assertpmux:cmd-assertpmux' on page 617 undefined -on input line 46702. +LaTeX Warning: Reference `cmd/abc9:cmd-abc9' on page 619 undefined on input lin +e 46702. -LaTeX Warning: Reference `cmd/async2sync:cmd-async2sync' on page 617 undefined -on input line 46703. +LaTeX Warning: Reference `cmd/abc9_exe:cmd-abc9_exe' on page 619 undefined on i +nput line 46703. -LaTeX Warning: Reference `cmd/attrmap:cmd-attrmap' on page 617 undefined on inp -ut line 46704. +LaTeX Warning: Reference `cmd/abc9_ops:cmd-abc9_ops' on page 619 undefined on i +nput line 46704. -LaTeX Warning: Reference `cmd/attrmvcp:cmd-attrmvcp' on page 617 undefined on i -nput line 46705. +LaTeX Warning: Reference `cmd/abc_new:cmd-abc_new' on page 619 undefined on inp +ut line 46705. -LaTeX Warning: Reference `cmd/autoname:cmd-autoname' on page 617 undefined on i +LaTeX Warning: Reference `cmd/abstract:cmd-abstract' on page 619 undefined on i nput line 46706. -LaTeX Warning: Reference `cmd/blackbox:cmd-blackbox' on page 617 undefined on i -nput line 46707. +LaTeX Warning: Reference `cmd/add:cmd-add' on page 619 undefined on input line +46707. -LaTeX Warning: Reference `cmd/bmuxmap:cmd-bmuxmap' on page 617 undefined on inp -ut line 46708. +LaTeX Warning: Reference `cmd/aigmap:cmd-aigmap' on page 619 undefined on input + line 46708. -LaTeX Warning: Reference `cmd/booth:cmd-booth' on page 617 undefined on input l -ine 46709. +LaTeX Warning: Reference `cmd/alumacc:cmd-alumacc' on page 619 undefined on inp +ut line 46709. -LaTeX Warning: Reference `cmd/box_derive:cmd-box_derive' on page 617 undefined -on input line 46710. +LaTeX Warning: Reference `cmd/anlogic_eqn:cmd-anlogic_eqn' on page 619 undefine +d on input line 46710. -LaTeX Warning: Reference `cmd/bufnorm:cmd-bufnorm' on page 617 undefined on inp -ut line 46711. +LaTeX Warning: Reference `cmd/anlogic_fixcarry:cmd-anlogic_fixcarry' on page 61 +9 undefined on input line 46711. -LaTeX Warning: Reference `cmd/bugpoint:cmd-bugpoint' on page 617 undefined on i -nput line 46712. +LaTeX Warning: Reference `cmd/assertpmux:cmd-assertpmux' on page 619 undefined +on input line 46712. -LaTeX Warning: Reference `cmd/bwmuxmap:cmd-bwmuxmap' on page 617 undefined on i -nput line 46713. +LaTeX Warning: Reference `cmd/async2sync:cmd-async2sync' on page 619 undefined +on input line 46713. -LaTeX Warning: Reference `cmd/cd:cmd-cd' on page 617 undefined on input line 46 -714. +LaTeX Warning: Reference `cmd/attrmap:cmd-attrmap' on page 619 undefined on inp +ut line 46714. -LaTeX Warning: Reference `cmd/cellmatch:cmd-cellmatch' on page 617 undefined on - input line 46715. +LaTeX Warning: Reference `cmd/attrmvcp:cmd-attrmvcp' on page 619 undefined on i +nput line 46715. -LaTeX Warning: Reference `cmd/check:cmd-check' on page 617 undefined on input l -ine 46716. +LaTeX Warning: Reference `cmd/autoname:cmd-autoname' on page 619 undefined on i +nput line 46716. -LaTeX Warning: Reference `cmd/chformal:cmd-chformal' on page 617 undefined on i +LaTeX Warning: Reference `cmd/blackbox:cmd-blackbox' on page 619 undefined on i nput line 46717. -LaTeX Warning: Reference `cmd/chparam:cmd-chparam' on page 617 undefined on inp +LaTeX Warning: Reference `cmd/bmuxmap:cmd-bmuxmap' on page 619 undefined on inp ut line 46718. -LaTeX Warning: Reference `cmd/chtype:cmd-chtype' on page 617 undefined on input - line 46719. +LaTeX Warning: Reference `cmd/booth:cmd-booth' on page 619 undefined on input l +ine 46719. -LaTeX Warning: Reference `cmd/clean:cmd-clean' on page 617 undefined on input l -ine 46720. +LaTeX Warning: Reference `cmd/box_derive:cmd-box_derive' on page 619 undefined +on input line 46720. -LaTeX Warning: Reference `cmd/clean_zerowidth:cmd-clean_zerowidth' on page 617 -undefined on input line 46721. +LaTeX Warning: Reference `cmd/bufnorm:cmd-bufnorm' on page 619 undefined on inp +ut line 46721. -LaTeX Warning: Reference `cmd/clk2fflogic:cmd-clk2fflogic' on page 617 undefine -d on input line 46722. +LaTeX Warning: Reference `cmd/bugpoint:cmd-bugpoint' on page 619 undefined on i +nput line 46722. -LaTeX Warning: Reference `cmd/clkbufmap:cmd-clkbufmap' on page 617 undefined on - input line 46723. +LaTeX Warning: Reference `cmd/bwmuxmap:cmd-bwmuxmap' on page 619 undefined on i +nput line 46723. -LaTeX Warning: Reference `cmd/clockgate:cmd-clockgate' on page 617 undefined on - input line 46724. +LaTeX Warning: Reference `cmd/cd:cmd-cd' on page 619 undefined on input line 46 +724. -LaTeX Warning: Reference `cmd/connect:cmd-connect' on page 617 undefined on inp -ut line 46725. +LaTeX Warning: Reference `cmd/cellmatch:cmd-cellmatch' on page 619 undefined on + input line 46725. -LaTeX Warning: Reference `cmd/connect_rpc:cmd-connect_rpc' on page 617 undefine -d on input line 46726. +LaTeX Warning: Reference `cmd/check:cmd-check' on page 619 undefined on input l +ine 46726. -LaTeX Warning: Reference `cmd/connwrappers:cmd-connwrappers' on page 617 undefi -ned on input line 46727. +LaTeX Warning: Reference `cmd/chformal:cmd-chformal' on page 619 undefined on i +nput line 46727. -LaTeX Warning: Reference `cmd/coolrunner2_fixup:cmd-coolrunner2_fixup' on page -617 undefined on input line 46728. +LaTeX Warning: Reference `cmd/chparam:cmd-chparam' on page 619 undefined on inp +ut line 46728. -LaTeX Warning: Reference `cmd/coolrunner2_sop:cmd-coolrunner2_sop' on page 617 -undefined on input line 46729. +LaTeX Warning: Reference `cmd/chtype:cmd-chtype' on page 619 undefined on input + line 46729. -LaTeX Warning: Reference `cmd/copy:cmd-copy' on page 617 undefined on input lin -e 46730. +LaTeX Warning: Reference `cmd/clean:cmd-clean' on page 619 undefined on input l +ine 46730. -LaTeX Warning: Reference `cmd/cover:cmd-cover' on page 617 undefined on input l -ine 46731. +LaTeX Warning: Reference `cmd/clean_zerowidth:cmd-clean_zerowidth' on page 619 +undefined on input line 46731. -LaTeX Warning: Reference `cmd/cutpoint:cmd-cutpoint' on page 617 undefined on i -nput line 46732. +LaTeX Warning: Reference `cmd/clk2fflogic:cmd-clk2fflogic' on page 619 undefine +d on input line 46732. +LaTeX Warning: Reference `cmd/clkbufmap:cmd-clkbufmap' on page 619 undefined on + input line 46733. -LaTeX Warning: Reference `cmd/debug:cmd-debug' on page 617 undefined on input l -ine 46733. +LaTeX Warning: Reference `cmd/clockgate:cmd-clockgate' on page 619 undefined on + input line 46734. -LaTeX Warning: Reference `cmd/delete:cmd-delete' on page 617 undefined on input - line 46734. +LaTeX Warning: Reference `cmd/connect:cmd-connect' on page 619 undefined on inp +ut line 46735. -LaTeX Warning: Reference `cmd/deminout:cmd-deminout' on page 617 undefined on i -nput line 46735. +LaTeX Warning: Reference `cmd/connect_rpc:cmd-connect_rpc' on page 619 undefine +d on input line 46736. -LaTeX Warning: Reference `cmd/demuxmap:cmd-demuxmap' on page 617 undefined on i -nput line 46736. +LaTeX Warning: Reference `cmd/connwrappers:cmd-connwrappers' on page 619 undefi +ned on input line 46737. -LaTeX Warning: Reference `cmd/design:cmd-design' on page 617 undefined on input - line 46737. +LaTeX Warning: Reference `cmd/coolrunner2_fixup:cmd-coolrunner2_fixup' on page +619 undefined on input line 46738. -LaTeX Warning: Reference `cmd/dffinit:cmd-dffinit' on page 617 undefined on inp -ut line 46738. +LaTeX Warning: Reference `cmd/coolrunner2_sop:cmd-coolrunner2_sop' on page 619 +undefined on input line 46739. -LaTeX Warning: Reference `cmd/dfflegalize:cmd-dfflegalize' on page 617 undefine -d on input line 46739. +LaTeX Warning: Reference `cmd/copy:cmd-copy' on page 619 undefined on input lin +e 46740. -LaTeX Warning: Reference `cmd/dfflibmap:cmd-dfflibmap' on page 617 undefined on - input line 46740. +LaTeX Warning: Reference `cmd/cover:cmd-cover' on page 619 undefined on input l +ine 46741. -LaTeX Warning: Reference `cmd/dffunmap:cmd-dffunmap' on page 617 undefined on i -nput line 46741. +LaTeX Warning: Reference `cmd/cutpoint:cmd-cutpoint' on page 619 undefined on i +nput line 46742. -LaTeX Warning: Reference `cmd/dft_tag:cmd-dft_tag' on page 617 undefined on inp -ut line 46742. -LaTeX Warning: Reference `cmd/dump:cmd-dump' on page 617 undefined on input lin -e 46743. +LaTeX Warning: Reference `cmd/debug:cmd-debug' on page 619 undefined on input l +ine 46743. -LaTeX Warning: Reference `cmd/echo:cmd-echo' on page 617 undefined on input lin -e 46744. +LaTeX Warning: Reference `cmd/delete:cmd-delete' on page 619 undefined on input + line 46744. -LaTeX Warning: Reference `cmd/edgetypes:cmd-edgetypes' on page 617 undefined on - input line 46745. +LaTeX Warning: Reference `cmd/deminout:cmd-deminout' on page 619 undefined on i +nput line 46745. -LaTeX Warning: Reference `cmd/efinix_fixcarry:cmd-efinix_fixcarry' on page 617 -undefined on input line 46746. +LaTeX Warning: Reference `cmd/demuxmap:cmd-demuxmap' on page 619 undefined on i +nput line 46746. -LaTeX Warning: Reference `cmd/equiv_add:cmd-equiv_add' on page 617 undefined on - input line 46747. +LaTeX Warning: Reference `cmd/design:cmd-design' on page 619 undefined on input + line 46747. -LaTeX Warning: Reference `cmd/equiv_induct:cmd-equiv_induct' on page 617 undefi -ned on input line 46748. +LaTeX Warning: Reference `cmd/dffinit:cmd-dffinit' on page 619 undefined on inp +ut line 46748. -LaTeX Warning: Reference `cmd/equiv_make:cmd-equiv_make' on page 617 undefined -on input line 46749. +LaTeX Warning: Reference `cmd/dfflegalize:cmd-dfflegalize' on page 619 undefine +d on input line 46749. -LaTeX Warning: Reference `cmd/equiv_mark:cmd-equiv_mark' on page 617 undefined -on input line 46750. +LaTeX Warning: Reference `cmd/dfflibmap:cmd-dfflibmap' on page 619 undefined on + input line 46750. -LaTeX Warning: Reference `cmd/equiv_miter:cmd-equiv_miter' on page 617 undefine -d on input line 46751. +LaTeX Warning: Reference `cmd/dffunmap:cmd-dffunmap' on page 619 undefined on i +nput line 46751. -LaTeX Warning: Reference `cmd/equiv_opt:cmd-equiv_opt' on page 617 undefined on - input line 46752. +LaTeX Warning: Reference `cmd/dft_tag:cmd-dft_tag' on page 619 undefined on inp +ut line 46752. -LaTeX Warning: Reference `cmd/equiv_purge:cmd-equiv_purge' on page 617 undefine -d on input line 46753. +LaTeX Warning: Reference `cmd/dump:cmd-dump' on page 619 undefined on input lin +e 46753. -LaTeX Warning: Reference `cmd/equiv_remove:cmd-equiv_remove' on page 617 undefi -ned on input line 46754. +LaTeX Warning: Reference `cmd/echo:cmd-echo' on page 619 undefined on input lin +e 46754. -LaTeX Warning: Reference `cmd/equiv_simple:cmd-equiv_simple' on page 617 undefi -ned on input line 46755. +LaTeX Warning: Reference `cmd/edgetypes:cmd-edgetypes' on page 619 undefined on + input line 46755. -LaTeX Warning: Reference `cmd/equiv_status:cmd-equiv_status' on page 617 undefi -ned on input line 46756. +LaTeX Warning: Reference `cmd/efinix_fixcarry:cmd-efinix_fixcarry' on page 619 +undefined on input line 46756. -LaTeX Warning: Reference `cmd/equiv_struct:cmd-equiv_struct' on page 617 undefi -ned on input line 46757. +LaTeX Warning: Reference `cmd/equiv_add:cmd-equiv_add' on page 619 undefined on + input line 46757. -LaTeX Warning: Reference `cmd/eval:cmd-eval' on page 617 undefined on input lin -e 46758. +LaTeX Warning: Reference `cmd/equiv_induct:cmd-equiv_induct' on page 619 undefi +ned on input line 46758. -LaTeX Warning: Reference `cmd/example_dt:cmd-example_dt' on page 617 undefined +LaTeX Warning: Reference `cmd/equiv_make:cmd-equiv_make' on page 619 undefined on input line 46759. -LaTeX Warning: Reference `cmd/exec:cmd-exec' on page 617 undefined on input lin -e 46760. +LaTeX Warning: Reference `cmd/equiv_mark:cmd-equiv_mark' on page 619 undefined +on input line 46760. -LaTeX Warning: Reference `cmd/expose:cmd-expose' on page 617 undefined on input - line 46761. +LaTeX Warning: Reference `cmd/equiv_miter:cmd-equiv_miter' on page 619 undefine +d on input line 46761. -LaTeX Warning: Reference `cmd/extract:cmd-extract' on page 617 undefined on inp -ut line 46762. +LaTeX Warning: Reference `cmd/equiv_opt:cmd-equiv_opt' on page 619 undefined on + input line 46762. -LaTeX Warning: Reference `cmd/extract_counter:cmd-extract_counter' on page 617 -undefined on input line 46763. +LaTeX Warning: Reference `cmd/equiv_purge:cmd-equiv_purge' on page 619 undefine +d on input line 46763. -LaTeX Warning: Reference `cmd/extract_fa:cmd-extract_fa' on page 617 undefined -on input line 46764. +LaTeX Warning: Reference `cmd/equiv_remove:cmd-equiv_remove' on page 619 undefi +ned on input line 46764. -LaTeX Warning: Reference `cmd/extract_reduce:cmd-extract_reduce' on page 617 un -defined on input line 46765. +LaTeX Warning: Reference `cmd/equiv_simple:cmd-equiv_simple' on page 619 undefi +ned on input line 46765. -LaTeX Warning: Reference `cmd/extractinv:cmd-extractinv' on page 617 undefined -on input line 46766. +LaTeX Warning: Reference `cmd/equiv_status:cmd-equiv_status' on page 619 undefi +ned on input line 46766. -LaTeX Warning: Reference `cmd/flatten:cmd-flatten' on page 617 undefined on inp -ut line 46767. +LaTeX Warning: Reference `cmd/equiv_struct:cmd-equiv_struct' on page 619 undefi +ned on input line 46767. -LaTeX Warning: Reference `cmd/flowmap:cmd-flowmap' on page 617 undefined on inp -ut line 46768. +LaTeX Warning: Reference `cmd/eval:cmd-eval' on page 619 undefined on input lin +e 46768. -LaTeX Warning: Reference `cmd/fmcombine:cmd-fmcombine' on page 617 undefined on - input line 46769. +LaTeX Warning: Reference `cmd/example_dt:cmd-example_dt' on page 619 undefined +on input line 46769. -LaTeX Warning: Reference `cmd/fminit:cmd-fminit' on page 617 undefined on input - line 46770. +LaTeX Warning: Reference `cmd/exec:cmd-exec' on page 619 undefined on input lin +e 46770. -LaTeX Warning: Reference `cmd/formalff:cmd-formalff' on page 617 undefined on i -nput line 46771. +LaTeX Warning: Reference `cmd/expose:cmd-expose' on page 619 undefined on input + line 46771. -LaTeX Warning: Reference `cmd/freduce:cmd-freduce' on page 617 undefined on inp +LaTeX Warning: Reference `cmd/extract:cmd-extract' on page 619 undefined on inp ut line 46772. -LaTeX Warning: Reference `cmd/fsm:cmd-fsm' on page 617 undefined on input line -46773. +LaTeX Warning: Reference `cmd/extract_counter:cmd-extract_counter' on page 619 +undefined on input line 46773. -LaTeX Warning: Reference `cmd/fsm_detect:cmd-fsm_detect' on page 617 undefined +LaTeX Warning: Reference `cmd/extract_fa:cmd-extract_fa' on page 619 undefined on input line 46774. -[617] - -LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 618 undefined -on input line 46775. +LaTeX Warning: Reference `cmd/extract_reduce:cmd-extract_reduce' on page 619 un +defined on input line 46775. -LaTeX Warning: Reference `cmd/fsm_export:cmd-fsm_export' on page 618 undefined +LaTeX Warning: Reference `cmd/extractinv:cmd-extractinv' on page 619 undefined on input line 46776. -LaTeX Warning: Reference `cmd/fsm_extract:cmd-fsm_extract' on page 618 undefine -d on input line 46777. +LaTeX Warning: Reference `cmd/flatten:cmd-flatten' on page 619 undefined on inp +ut line 46777. + +LaTeX Warning: Reference `cmd/flowmap:cmd-flowmap' on page 619 undefined on inp +ut line 46778. -LaTeX Warning: Reference `cmd/fsm_info:cmd-fsm_info' on page 618 undefined on i -nput line 46778. +LaTeX Warning: Reference `cmd/fmcombine:cmd-fmcombine' on page 619 undefined on + input line 46779. -LaTeX Warning: Reference `cmd/fsm_map:cmd-fsm_map' on page 618 undefined on inp -ut line 46779. +LaTeX Warning: Reference `cmd/fminit:cmd-fminit' on page 619 undefined on input + line 46780. -LaTeX Warning: Reference `cmd/fsm_opt:cmd-fsm_opt' on page 618 undefined on inp -ut line 46780. +LaTeX Warning: Reference `cmd/formalff:cmd-formalff' on page 619 undefined on i +nput line 46781. -LaTeX Warning: Reference `cmd/fsm_recode:cmd-fsm_recode' on page 618 undefined -on input line 46781. +LaTeX Warning: Reference `cmd/freduce:cmd-freduce' on page 619 undefined on inp +ut line 46782. -LaTeX Warning: Reference `cmd/fst2tb:cmd-fst2tb' on page 618 undefined on input - line 46782. +LaTeX Warning: Reference `cmd/fsm:cmd-fsm' on page 619 undefined on input line +46783. -LaTeX Warning: Reference `cmd/future:cmd-future' on page 618 undefined on input - line 46783. +LaTeX Warning: Reference `cmd/fsm_detect:cmd-fsm_detect' on page 619 undefined +on input line 46784. -LaTeX Warning: Reference `cmd/gatemate_foldinv:cmd-gatemate_foldinv' on page 61 -8 undefined on input line 46784. +[619] -LaTeX Warning: Reference `cmd/glift:cmd-glift' on page 618 undefined on input l -ine 46785. +LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 620 undefined +on input line 46785. -LaTeX Warning: Reference `cmd/greenpak4_dffinv:cmd-greenpak4_dffinv' on page 61 -8 undefined on input line 46786. +LaTeX Warning: Reference `cmd/fsm_export:cmd-fsm_export' on page 620 undefined +on input line 46786. -LaTeX Warning: Reference `cmd/help:cmd-help' on page 618 undefined on input lin -e 46787. +LaTeX Warning: Reference `cmd/fsm_extract:cmd-fsm_extract' on page 620 undefine +d on input line 46787. -LaTeX Warning: Reference `cmd/hierarchy:cmd-hierarchy' on page 618 undefined on - input line 46788. +LaTeX Warning: Reference `cmd/fsm_info:cmd-fsm_info' on page 620 undefined on i +nput line 46788. -LaTeX Warning: Reference `cmd/hilomap:cmd-hilomap' on page 618 undefined on inp +LaTeX Warning: Reference `cmd/fsm_map:cmd-fsm_map' on page 620 undefined on inp ut line 46789. -LaTeX Warning: Reference `cmd/history:cmd-history' on page 618 undefined on inp +LaTeX Warning: Reference `cmd/fsm_opt:cmd-fsm_opt' on page 620 undefined on inp ut line 46790. -LaTeX Warning: Reference `cmd/ice40_braminit:cmd-ice40_braminit' on page 618 un -defined on input line 46791. +LaTeX Warning: Reference `cmd/fsm_recode:cmd-fsm_recode' on page 620 undefined +on input line 46791. -LaTeX Warning: Reference `cmd/ice40_dsp:cmd-ice40_dsp' on page 618 undefined on - input line 46792. +LaTeX Warning: Reference `cmd/fst2tb:cmd-fst2tb' on page 620 undefined on input + line 46792. -LaTeX Warning: Reference `cmd/ice40_opt:cmd-ice40_opt' on page 618 undefined on - input line 46793. +LaTeX Warning: Reference `cmd/future:cmd-future' on page 620 undefined on input + line 46793. -LaTeX Warning: Reference `cmd/ice40_wrapcarry:cmd-ice40_wrapcarry' on page 618 -undefined on input line 46794. +LaTeX Warning: Reference `cmd/gatemate_foldinv:cmd-gatemate_foldinv' on page 62 +0 undefined on input line 46794. -LaTeX Warning: Reference `cmd/insbuf:cmd-insbuf' on page 618 undefined on input - line 46795. +LaTeX Warning: Reference `cmd/glift:cmd-glift' on page 620 undefined on input l +ine 46795. -LaTeX Warning: Reference `cmd/internal_stats:cmd-internal_stats' on page 618 un -defined on input line 46796. +LaTeX Warning: Reference `cmd/greenpak4_dffinv:cmd-greenpak4_dffinv' on page 62 +0 undefined on input line 46796. -LaTeX Warning: Reference `cmd/iopadmap:cmd-iopadmap' on page 618 undefined on i -nput line 46797. +LaTeX Warning: Reference `cmd/help:cmd-help' on page 620 undefined on input lin +e 46797. -LaTeX Warning: Reference `cmd/jny:cmd-jny' on page 618 undefined on input line -46798. +LaTeX Warning: Reference `cmd/hierarchy:cmd-hierarchy' on page 620 undefined on + input line 46798. -LaTeX Warning: Reference `cmd/json:cmd-json' on page 618 undefined on input lin -e 46799. +LaTeX Warning: Reference `cmd/hilomap:cmd-hilomap' on page 620 undefined on inp +ut line 46799. -LaTeX Warning: Reference `cmd/keep_hierarchy:cmd-keep_hierarchy' on page 618 un -defined on input line 46800. +LaTeX Warning: Reference `cmd/history:cmd-history' on page 620 undefined on inp +ut line 46800. -LaTeX Warning: Reference `cmd/lattice_gsr:cmd-lattice_gsr' on page 618 undefine -d on input line 46801. +LaTeX Warning: Reference `cmd/ice40_braminit:cmd-ice40_braminit' on page 620 un +defined on input line 46801. -LaTeX Warning: Reference `cmd/license:cmd-license' on page 618 undefined on inp -ut line 46802. +LaTeX Warning: Reference `cmd/ice40_dsp:cmd-ice40_dsp' on page 620 undefined on + input line 46802. -LaTeX Warning: Reference `cmd/log:cmd-log' on page 618 undefined on input line -46803. +LaTeX Warning: Reference `cmd/ice40_opt:cmd-ice40_opt' on page 620 undefined on + input line 46803. -LaTeX Warning: Reference `cmd/logger:cmd-logger' on page 618 undefined on input - line 46804. +LaTeX Warning: Reference `cmd/ice40_wrapcarry:cmd-ice40_wrapcarry' on page 620 +undefined on input line 46804. -LaTeX Warning: Reference `cmd/ls:cmd-ls' on page 618 undefined on input line 46 -805. +LaTeX Warning: Reference `cmd/insbuf:cmd-insbuf' on page 620 undefined on input + line 46805. -LaTeX Warning: Reference `cmd/ltp:cmd-ltp' on page 618 undefined on input line -46806. +LaTeX Warning: Reference `cmd/internal_stats:cmd-internal_stats' on page 620 un +defined on input line 46806. -LaTeX Warning: Reference `cmd/lut2mux:cmd-lut2mux' on page 618 undefined on inp -ut line 46807. +LaTeX Warning: Reference `cmd/iopadmap:cmd-iopadmap' on page 620 undefined on i +nput line 46807. -LaTeX Warning: Reference `cmd/maccmap:cmd-maccmap' on page 618 undefined on inp -ut line 46808. +LaTeX Warning: Reference `cmd/jny:cmd-jny' on page 620 undefined on input line +46808. -LaTeX Warning: Reference `cmd/memory:cmd-memory' on page 618 undefined on input - line 46809. +LaTeX Warning: Reference `cmd/json:cmd-json' on page 620 undefined on input lin +e 46809. -LaTeX Warning: Reference `cmd/memory_bmux2rom:cmd-memory_bmux2rom' on page 618 -undefined on input line 46810. +LaTeX Warning: Reference `cmd/keep_hierarchy:cmd-keep_hierarchy' on page 620 un +defined on input line 46810. -LaTeX Warning: Reference `cmd/memory_bram:cmd-memory_bram' on page 618 undefine +LaTeX Warning: Reference `cmd/lattice_gsr:cmd-lattice_gsr' on page 620 undefine d on input line 46811. -LaTeX Warning: Reference `cmd/memory_collect:cmd-memory_collect' on page 618 un -defined on input line 46812. - +LaTeX Warning: Reference `cmd/license:cmd-license' on page 620 undefined on inp +ut line 46812. -LaTeX Warning: Reference `cmd/memory_dff:cmd-memory_dff' on page 618 undefined -on input line 46813. +LaTeX Warning: Reference `cmd/log:cmd-log' on page 620 undefined on input line +46813. -LaTeX Warning: Reference `cmd/memory_libmap:cmd-memory_libmap' on page 618 unde -fined on input line 46814. +LaTeX Warning: Reference `cmd/logger:cmd-logger' on page 620 undefined on input + line 46814. -LaTeX Warning: Reference `cmd/memory_map:cmd-memory_map' on page 618 undefined -on input line 46815. +LaTeX Warning: Reference `cmd/ls:cmd-ls' on page 620 undefined on input line 46 +815. -LaTeX Warning: Reference `cmd/memory_memx:cmd-memory_memx' on page 618 undefine -d on input line 46816. +LaTeX Warning: Reference `cmd/ltp:cmd-ltp' on page 620 undefined on input line +46816. -LaTeX Warning: Reference `cmd/memory_narrow:cmd-memory_narrow' on page 618 unde -fined on input line 46817. +LaTeX Warning: Reference `cmd/lut2mux:cmd-lut2mux' on page 620 undefined on inp +ut line 46817. -LaTeX Warning: Reference `cmd/memory_nordff:cmd-memory_nordff' on page 618 unde -fined on input line 46818. +LaTeX Warning: Reference `cmd/maccmap:cmd-maccmap' on page 620 undefined on inp +ut line 46818. -LaTeX Warning: Reference `cmd/memory_share:cmd-memory_share' on page 618 undefi -ned on input line 46819. +LaTeX Warning: Reference `cmd/memory:cmd-memory' on page 620 undefined on input + line 46819. -LaTeX Warning: Reference `cmd/memory_unpack:cmd-memory_unpack' on page 618 unde -fined on input line 46820. +LaTeX Warning: Reference `cmd/memory_bmux2rom:cmd-memory_bmux2rom' on page 620 +undefined on input line 46820. -LaTeX Warning: Reference `cmd/microchip_dffopt:cmd-microchip_dffopt' on page 61 -8 undefined on input line 46821. +LaTeX Warning: Reference `cmd/memory_bram:cmd-memory_bram' on page 620 undefine +d on input line 46821. -LaTeX Warning: Reference `cmd/microchip_dsp:cmd-microchip_dsp' on page 618 unde -fined on input line 46822. +LaTeX Warning: Reference `cmd/memory_collect:cmd-memory_collect' on page 620 un +defined on input line 46822. -LaTeX Warning: Reference `cmd/miter:cmd-miter' on page 618 undefined on input l -ine 46823. +LaTeX Warning: Reference `cmd/memory_dff:cmd-memory_dff' on page 620 undefined +on input line 46823. -LaTeX Warning: Reference `cmd/mutate:cmd-mutate' on page 618 undefined on input - line 46824. +LaTeX Warning: Reference `cmd/memory_libmap:cmd-memory_libmap' on page 620 unde +fined on input line 46824. -LaTeX Warning: Reference `cmd/muxcover:cmd-muxcover' on page 618 undefined on i -nput line 46825. +LaTeX Warning: Reference `cmd/memory_map:cmd-memory_map' on page 620 undefined +on input line 46825. -LaTeX Warning: Reference `cmd/muxpack:cmd-muxpack' on page 618 undefined on inp -ut line 46826. +LaTeX Warning: Reference `cmd/memory_memx:cmd-memory_memx' on page 620 undefine +d on input line 46826. -LaTeX Warning: Reference `cmd/nlutmap:cmd-nlutmap' on page 618 undefined on inp -ut line 46827. +LaTeX Warning: Reference `cmd/memory_narrow:cmd-memory_narrow' on page 620 unde +fined on input line 46827. -LaTeX Warning: Reference `cmd/nx_carry:cmd-nx_carry' on page 618 undefined on i -nput line 46828. +LaTeX Warning: Reference `cmd/memory_nordff:cmd-memory_nordff' on page 620 unde +fined on input line 46828. -LaTeX Warning: Reference `cmd/onehot:cmd-onehot' on page 618 undefined on input - line 46829. +LaTeX Warning: Reference `cmd/memory_share:cmd-memory_share' on page 620 undefi +ned on input line 46829. -LaTeX Warning: Reference `cmd/opt:cmd-opt' on page 618 undefined on input line -46830. +LaTeX Warning: Reference `cmd/memory_unpack:cmd-memory_unpack' on page 620 unde +fined on input line 46830. -LaTeX Warning: Reference `cmd/opt_clean:cmd-opt_clean' on page 618 undefined on - input line 46831. +LaTeX Warning: Reference `cmd/microchip_dffopt:cmd-microchip_dffopt' on page 62 +0 undefined on input line 46831. -LaTeX Warning: Reference `cmd/opt_demorgan:cmd-opt_demorgan' on page 618 undefi -ned on input line 46832. +LaTeX Warning: Reference `cmd/microchip_dsp:cmd-microchip_dsp' on page 620 unde +fined on input line 46832. -LaTeX Warning: Reference `cmd/opt_dff:cmd-opt_dff' on page 618 undefined on inp -ut line 46833. +LaTeX Warning: Reference `cmd/miter:cmd-miter' on page 620 undefined on input l +ine 46833. -LaTeX Warning: Reference `cmd/opt_expr:cmd-opt_expr' on page 618 undefined on i -nput line 46834. +LaTeX Warning: Reference `cmd/mutate:cmd-mutate' on page 620 undefined on input + line 46834. -LaTeX Warning: Reference `cmd/opt_ffinv:cmd-opt_ffinv' on page 618 undefined on - input line 46835. +LaTeX Warning: Reference `cmd/muxcover:cmd-muxcover' on page 620 undefined on i +nput line 46835. -LaTeX Warning: Reference `cmd/opt_lut:cmd-opt_lut' on page 618 undefined on inp +LaTeX Warning: Reference `cmd/muxpack:cmd-muxpack' on page 620 undefined on inp ut line 46836. -LaTeX Warning: Reference `cmd/opt_lut_ins:cmd-opt_lut_ins' on page 618 undefine -d on input line 46837. +LaTeX Warning: Reference `cmd/nlutmap:cmd-nlutmap' on page 620 undefined on inp +ut line 46837. + +LaTeX Warning: Reference `cmd/nx_carry:cmd-nx_carry' on page 620 undefined on i +nput line 46838. -LaTeX Warning: Reference `cmd/opt_mem:cmd-opt_mem' on page 618 undefined on inp -ut line 46838. -LaTeX Warning: Reference `cmd/opt_mem_feedback:cmd-opt_mem_feedback' on page 61 -8 undefined on input line 46839. +LaTeX Warning: Reference `cmd/onehot:cmd-onehot' on page 620 undefined on input + line 46839. -LaTeX Warning: Reference `cmd/opt_mem_priority:cmd-opt_mem_priority' on page 61 -8 undefined on input line 46840. +LaTeX Warning: Reference `cmd/opt:cmd-opt' on page 620 undefined on input line +46840. -LaTeX Warning: Reference `cmd/opt_mem_widen:cmd-opt_mem_widen' on page 618 unde -fined on input line 46841. +LaTeX Warning: Reference `cmd/opt_clean:cmd-opt_clean' on page 620 undefined on + input line 46841. -LaTeX Warning: Reference `cmd/opt_merge:cmd-opt_merge' on page 618 undefined on - input line 46842. +LaTeX Warning: Reference `cmd/opt_demorgan:cmd-opt_demorgan' on page 620 undefi +ned on input line 46842. -LaTeX Warning: Reference `cmd/opt_muxtree:cmd-opt_muxtree' on page 618 undefine -d on input line 46843. +LaTeX Warning: Reference `cmd/opt_dff:cmd-opt_dff' on page 620 undefined on inp +ut line 46843. -LaTeX Warning: Reference `cmd/opt_reduce:cmd-opt_reduce' on page 618 undefined -on input line 46844. +LaTeX Warning: Reference `cmd/opt_expr:cmd-opt_expr' on page 620 undefined on i +nput line 46844. -LaTeX Warning: Reference `cmd/opt_share:cmd-opt_share' on page 618 undefined on +LaTeX Warning: Reference `cmd/opt_ffinv:cmd-opt_ffinv' on page 620 undefined on input line 46845. -LaTeX Warning: Reference `cmd/paramap:cmd-paramap' on page 618 undefined on inp +LaTeX Warning: Reference `cmd/opt_lut:cmd-opt_lut' on page 620 undefined on inp ut line 46846. -LaTeX Warning: Reference `cmd/peepopt:cmd-peepopt' on page 618 undefined on inp -ut line 46847. +LaTeX Warning: Reference `cmd/opt_lut_ins:cmd-opt_lut_ins' on page 620 undefine +d on input line 46847. -LaTeX Warning: Reference `cmd/plugin:cmd-plugin' on page 618 undefined on input - line 46848. +LaTeX Warning: Reference `cmd/opt_mem:cmd-opt_mem' on page 620 undefined on inp +ut line 46848. -LaTeX Warning: Reference `cmd/pmux2shiftx:cmd-pmux2shiftx' on page 618 undefine -d on input line 46849. +LaTeX Warning: Reference `cmd/opt_mem_feedback:cmd-opt_mem_feedback' on page 62 +0 undefined on input line 46849. -LaTeX Warning: Reference `cmd/pmuxtree:cmd-pmuxtree' on page 618 undefined on i -nput line 46850. +LaTeX Warning: Reference `cmd/opt_mem_priority:cmd-opt_mem_priority' on page 62 +0 undefined on input line 46850. -LaTeX Warning: Reference `cmd/portarcs:cmd-portarcs' on page 618 undefined on i -nput line 46851. +LaTeX Warning: Reference `cmd/opt_mem_widen:cmd-opt_mem_widen' on page 620 unde +fined on input line 46851. -LaTeX Warning: Reference `cmd/portlist:cmd-portlist' on page 618 undefined on i -nput line 46852. +LaTeX Warning: Reference `cmd/opt_merge:cmd-opt_merge' on page 620 undefined on + input line 46852. -LaTeX Warning: Reference `cmd/prep:cmd-prep' on page 618 undefined on input lin -e 46853. +LaTeX Warning: Reference `cmd/opt_muxtree:cmd-opt_muxtree' on page 620 undefine +d on input line 46853. -LaTeX Warning: Reference `cmd/printattrs:cmd-printattrs' on page 618 undefined +LaTeX Warning: Reference `cmd/opt_reduce:cmd-opt_reduce' on page 620 undefined on input line 46854. -LaTeX Warning: Reference `cmd/proc:cmd-proc' on page 618 undefined on input lin -e 46855. +LaTeX Warning: Reference `cmd/opt_share:cmd-opt_share' on page 620 undefined on + input line 46855. -LaTeX Warning: Reference `cmd/proc_arst:cmd-proc_arst' on page 618 undefined on - input line 46856. +LaTeX Warning: Reference `cmd/paramap:cmd-paramap' on page 620 undefined on inp +ut line 46856. -LaTeX Warning: Reference `cmd/proc_clean:cmd-proc_clean' on page 618 undefined -on input line 46857. +LaTeX Warning: Reference `cmd/peepopt:cmd-peepopt' on page 620 undefined on inp +ut line 46857. -LaTeX Warning: Reference `cmd/proc_dff:cmd-proc_dff' on page 618 undefined on i -nput line 46858. +LaTeX Warning: Reference `cmd/plugin:cmd-plugin' on page 620 undefined on input + line 46858. -LaTeX Warning: Reference `cmd/proc_dlatch:cmd-proc_dlatch' on page 618 undefine +LaTeX Warning: Reference `cmd/pmux2shiftx:cmd-pmux2shiftx' on page 620 undefine d on input line 46859. -LaTeX Warning: Reference `cmd/proc_init:cmd-proc_init' on page 618 undefined on - input line 46860. +LaTeX Warning: Reference `cmd/pmuxtree:cmd-pmuxtree' on page 620 undefined on i +nput line 46860. -LaTeX Warning: Reference `cmd/proc_memwr:cmd-proc_memwr' on page 618 undefined -on input line 46861. +LaTeX Warning: Reference `cmd/portarcs:cmd-portarcs' on page 620 undefined on i +nput line 46861. -LaTeX Warning: Reference `cmd/proc_mux:cmd-proc_mux' on page 618 undefined on i +LaTeX Warning: Reference `cmd/portlist:cmd-portlist' on page 620 undefined on i nput line 46862. -LaTeX Warning: Reference `cmd/proc_prune:cmd-proc_prune' on page 618 undefined -on input line 46863. +LaTeX Warning: Reference `cmd/prep:cmd-prep' on page 620 undefined on input lin +e 46863. -LaTeX Warning: Reference `cmd/proc_rmdead:cmd-proc_rmdead' on page 618 undefine -d on input line 46864. +LaTeX Warning: Reference `cmd/printattrs:cmd-printattrs' on page 620 undefined +on input line 46864. -LaTeX Warning: Reference `cmd/proc_rom:cmd-proc_rom' on page 618 undefined on i -nput line 46865. +LaTeX Warning: Reference `cmd/proc:cmd-proc' on page 620 undefined on input lin +e 46865. -LaTeX Warning: Reference `cmd/qbfsat:cmd-qbfsat' on page 618 undefined on input - line 46866. +LaTeX Warning: Reference `cmd/proc_arst:cmd-proc_arst' on page 620 undefined on + input line 46866. -LaTeX Warning: Reference `cmd/ql_bram_merge:cmd-ql_bram_merge' on page 618 unde -fined on input line 46867. +LaTeX Warning: Reference `cmd/proc_clean:cmd-proc_clean' on page 620 undefined +on input line 46867. -LaTeX Warning: Reference `cmd/ql_bram_types:cmd-ql_bram_types' on page 618 unde -fined on input line 46868. +LaTeX Warning: Reference `cmd/proc_dff:cmd-proc_dff' on page 620 undefined on i +nput line 46868. -LaTeX Warning: Reference `cmd/ql_dsp_io_regs:cmd-ql_dsp_io_regs' on page 618 un -defined on input line 46869. +LaTeX Warning: Reference `cmd/proc_dlatch:cmd-proc_dlatch' on page 620 undefine +d on input line 46869. -LaTeX Warning: Reference `cmd/ql_dsp_macc:cmd-ql_dsp_macc' on page 618 undefine -d on input line 46870. +LaTeX Warning: Reference `cmd/proc_init:cmd-proc_init' on page 620 undefined on + input line 46870. -LaTeX Warning: Reference `cmd/ql_dsp_simd:cmd-ql_dsp_simd' on page 618 undefine -d on input line 46871. +LaTeX Warning: Reference `cmd/proc_memwr:cmd-proc_memwr' on page 620 undefined +on input line 46871. -LaTeX Warning: Reference `cmd/ql_ioff:cmd-ql_ioff' on page 618 undefined on inp -ut line 46872. +LaTeX Warning: Reference `cmd/proc_mux:cmd-proc_mux' on page 620 undefined on i +nput line 46872. -LaTeX Warning: Reference `cmd/read:cmd-read' on page 618 undefined on input lin -e 46873. +LaTeX Warning: Reference `cmd/proc_prune:cmd-proc_prune' on page 620 undefined +on input line 46873. -LaTeX Warning: Reference `cmd/read_aiger:cmd-read_aiger' on page 618 undefined -on input line 46874. +LaTeX Warning: Reference `cmd/proc_rmdead:cmd-proc_rmdead' on page 620 undefine +d on input line 46874. -LaTeX Warning: Reference `cmd/read_blif:cmd-read_blif' on page 618 undefined on - input line 46875. +LaTeX Warning: Reference `cmd/proc_rom:cmd-proc_rom' on page 620 undefined on i +nput line 46875. -LaTeX Warning: Reference `cmd/read_json:cmd-read_json' on page 618 undefined on - input line 46876. +LaTeX Warning: Reference `cmd/qbfsat:cmd-qbfsat' on page 620 undefined on input + line 46876. -LaTeX Warning: Reference `cmd/read_liberty:cmd-read_liberty' on page 618 undefi -ned on input line 46877. +LaTeX Warning: Reference `cmd/ql_bram_merge:cmd-ql_bram_merge' on page 620 unde +fined on input line 46877. -LaTeX Warning: Reference `cmd/read_rtlil:cmd-read_rtlil' on page 618 undefined -on input line 46878. +LaTeX Warning: Reference `cmd/ql_bram_types:cmd-ql_bram_types' on page 620 unde +fined on input line 46878. -LaTeX Warning: Reference `cmd/read_verilog:cmd-read_verilog' on page 618 undefi -ned on input line 46879. +LaTeX Warning: Reference `cmd/ql_dsp_io_regs:cmd-ql_dsp_io_regs' on page 620 un +defined on input line 46879. -LaTeX Warning: Reference `cmd/read_xaiger2:cmd-read_xaiger2' on page 618 undefi -ned on input line 46880. +LaTeX Warning: Reference `cmd/ql_dsp_macc:cmd-ql_dsp_macc' on page 620 undefine +d on input line 46880. -LaTeX Warning: Reference `cmd/recover_names:cmd-recover_names' on page 618 unde -fined on input line 46881. +LaTeX Warning: Reference `cmd/ql_dsp_simd:cmd-ql_dsp_simd' on page 620 undefine +d on input line 46881. -LaTeX Warning: Reference `cmd/rename:cmd-rename' on page 618 undefined on input - line 46882. +LaTeX Warning: Reference `cmd/ql_ioff:cmd-ql_ioff' on page 620 undefined on inp +ut line 46882. -[618] +LaTeX Warning: Reference `cmd/read:cmd-read' on page 620 undefined on input lin +e 46883. -LaTeX Warning: Reference `cmd/rmports:cmd-rmports' on page 619 undefined on inp -ut line 46883. +LaTeX Warning: Reference `cmd/read_aiger:cmd-read_aiger' on page 620 undefined +on input line 46884. -LaTeX Warning: Reference `cmd/sat:cmd-sat' on page 619 undefined on input line -46884. +LaTeX Warning: Reference `cmd/read_blif:cmd-read_blif' on page 620 undefined on + input line 46885. -LaTeX Warning: Reference `cmd/scatter:cmd-scatter' on page 619 undefined on inp -ut line 46885. +LaTeX Warning: Reference `cmd/read_json:cmd-read_json' on page 620 undefined on + input line 46886. -LaTeX Warning: Reference `cmd/scc:cmd-scc' on page 619 undefined on input line -46886. +LaTeX Warning: Reference `cmd/read_liberty:cmd-read_liberty' on page 620 undefi +ned on input line 46887. -LaTeX Warning: Reference `cmd/scratchpad:cmd-scratchpad' on page 619 undefined -on input line 46887. +LaTeX Warning: Reference `cmd/read_rtlil:cmd-read_rtlil' on page 620 undefined +on input line 46888. -LaTeX Warning: Reference `cmd/script:cmd-script' on page 619 undefined on input - line 46888. +LaTeX Warning: Reference `cmd/read_verilog:cmd-read_verilog' on page 620 undefi +ned on input line 46889. -LaTeX Warning: Reference `cmd/select:cmd-select' on page 619 undefined on input - line 46889. +LaTeX Warning: Reference `cmd/read_xaiger2:cmd-read_xaiger2' on page 620 undefi +ned on input line 46890. -LaTeX Warning: Reference `cmd/setattr:cmd-setattr' on page 619 undefined on inp -ut line 46890. +LaTeX Warning: Reference `cmd/recover_names:cmd-recover_names' on page 620 unde +fined on input line 46891. -LaTeX Warning: Reference `cmd/setenv:cmd-setenv' on page 619 undefined on input - line 46891. +LaTeX Warning: Reference `cmd/rename:cmd-rename' on page 620 undefined on input + line 46892. -LaTeX Warning: Reference `cmd/setparam:cmd-setparam' on page 619 undefined on i -nput line 46892. +[620] -LaTeX Warning: Reference `cmd/setundef:cmd-setundef' on page 619 undefined on i -nput line 46893. +LaTeX Warning: Reference `cmd/rmports:cmd-rmports' on page 621 undefined on inp +ut line 46893. -LaTeX Warning: Reference `cmd/share:cmd-share' on page 619 undefined on input l -ine 46894. +LaTeX Warning: Reference `cmd/sat:cmd-sat' on page 621 undefined on input line +46894. -LaTeX Warning: Reference `cmd/shell:cmd-shell' on page 619 undefined on input l -ine 46895. +LaTeX Warning: Reference `cmd/scatter:cmd-scatter' on page 621 undefined on inp +ut line 46895. -LaTeX Warning: Reference `cmd/show:cmd-show' on page 619 undefined on input lin -e 46896. +LaTeX Warning: Reference `cmd/scc:cmd-scc' on page 621 undefined on input line +46896. -LaTeX Warning: Reference `cmd/shregmap:cmd-shregmap' on page 619 undefined on i -nput line 46897. +LaTeX Warning: Reference `cmd/scratchpad:cmd-scratchpad' on page 621 undefined +on input line 46897. -LaTeX Warning: Reference `cmd/sim:cmd-sim' on page 619 undefined on input line -46898. +LaTeX Warning: Reference `cmd/script:cmd-script' on page 621 undefined on input + line 46898. -LaTeX Warning: Reference `cmd/simplemap:cmd-simplemap' on page 619 undefined on - input line 46899. +LaTeX Warning: Reference `cmd/select:cmd-select' on page 621 undefined on input + line 46899. -LaTeX Warning: Reference `cmd/splice:cmd-splice' on page 619 undefined on input - line 46900. +LaTeX Warning: Reference `cmd/setattr:cmd-setattr' on page 621 undefined on inp +ut line 46900. -LaTeX Warning: Reference `cmd/splitcells:cmd-splitcells' on page 619 undefined -on input line 46901. +LaTeX Warning: Reference `cmd/setenv:cmd-setenv' on page 621 undefined on input + line 46901. -LaTeX Warning: Reference `cmd/splitnets:cmd-splitnets' on page 619 undefined on - input line 46902. +LaTeX Warning: Reference `cmd/setparam:cmd-setparam' on page 621 undefined on i +nput line 46902. -LaTeX Warning: Reference `cmd/sta:cmd-sta' on page 619 undefined on input line -46903. +LaTeX Warning: Reference `cmd/setundef:cmd-setundef' on page 621 undefined on i +nput line 46903. -LaTeX Warning: Reference `cmd/stat:cmd-stat' on page 619 undefined on input lin -e 46904. +LaTeX Warning: Reference `cmd/share:cmd-share' on page 621 undefined on input l +ine 46904. -LaTeX Warning: Reference `cmd/submod:cmd-submod' on page 619 undefined on input - line 46905. +LaTeX Warning: Reference `cmd/shell:cmd-shell' on page 621 undefined on input l +ine 46905. -LaTeX Warning: Reference `cmd/supercover:cmd-supercover' on page 619 undefined -on input line 46906. +LaTeX Warning: Reference `cmd/show:cmd-show' on page 621 undefined on input lin +e 46906. -LaTeX Warning: Reference `cmd/synth:cmd-synth' on page 619 undefined on input l -ine 46907. +LaTeX Warning: Reference `cmd/shregmap:cmd-shregmap' on page 621 undefined on i +nput line 46907. -LaTeX Warning: Reference `cmd/synth_achronix:cmd-synth_achronix' on page 619 un -defined on input line 46908. +LaTeX Warning: Reference `cmd/sim:cmd-sim' on page 621 undefined on input line +46908. -LaTeX Warning: Reference `cmd/synth_anlogic:cmd-synth_anlogic' on page 619 unde -fined on input line 46909. +LaTeX Warning: Reference `cmd/simplemap:cmd-simplemap' on page 621 undefined on + input line 46909. -LaTeX Warning: Reference `cmd/synth_coolrunner2:cmd-synth_coolrunner2' on page -619 undefined on input line 46910. +LaTeX Warning: Reference `cmd/splice:cmd-splice' on page 621 undefined on input + line 46910. -LaTeX Warning: Reference `cmd/synth_easic:cmd-synth_easic' on page 619 undefine -d on input line 46911. +LaTeX Warning: Reference `cmd/splitcells:cmd-splitcells' on page 621 undefined +on input line 46911. -LaTeX Warning: Reference `cmd/synth_ecp5:cmd-synth_ecp5' on page 619 undefined -on input line 46912. +LaTeX Warning: Reference `cmd/splitnets:cmd-splitnets' on page 621 undefined on + input line 46912. -LaTeX Warning: Reference `cmd/synth_efinix:cmd-synth_efinix' on page 619 undefi -ned on input line 46913. +LaTeX Warning: Reference `cmd/sta:cmd-sta' on page 621 undefined on input line +46913. -LaTeX Warning: Reference `cmd/synth_fabulous:cmd-synth_fabulous' on page 619 un -defined on input line 46914. +LaTeX Warning: Reference `cmd/stat:cmd-stat' on page 621 undefined on input lin +e 46914. -LaTeX Warning: Reference `cmd/synth_gatemate:cmd-synth_gatemate' on page 619 un -defined on input line 46915. +LaTeX Warning: Reference `cmd/submod:cmd-submod' on page 621 undefined on input + line 46915. -LaTeX Warning: Reference `cmd/synth_gowin:cmd-synth_gowin' on page 619 undefine -d on input line 46916. +LaTeX Warning: Reference `cmd/supercover:cmd-supercover' on page 621 undefined +on input line 46916. -LaTeX Warning: Reference `cmd/synth_greenpak4:cmd-synth_greenpak4' on page 619 -undefined on input line 46917. +LaTeX Warning: Reference `cmd/synth:cmd-synth' on page 621 undefined on input l +ine 46917. -LaTeX Warning: Reference `cmd/synth_ice40:cmd-synth_ice40' on page 619 undefine -d on input line 46918. +LaTeX Warning: Reference `cmd/synth_achronix:cmd-synth_achronix' on page 621 un +defined on input line 46918. -LaTeX Warning: Reference `cmd/synth_intel:cmd-synth_intel' on page 619 undefine -d on input line 46919. +LaTeX Warning: Reference `cmd/synth_anlogic:cmd-synth_anlogic' on page 621 unde +fined on input line 46919. -LaTeX Warning: Reference `cmd/synth_intel_alm:cmd-synth_intel_alm' on page 619 -undefined on input line 46920. +LaTeX Warning: Reference `cmd/synth_coolrunner2:cmd-synth_coolrunner2' on page +621 undefined on input line 46920. -LaTeX Warning: Reference `cmd/synth_lattice:cmd-synth_lattice' on page 619 unde -fined on input line 46921. +LaTeX Warning: Reference `cmd/synth_easic:cmd-synth_easic' on page 621 undefine +d on input line 46921. -LaTeX Warning: Reference `cmd/synth_microchip:cmd-synth_microchip' on page 619 -undefined on input line 46922. +LaTeX Warning: Reference `cmd/synth_ecp5:cmd-synth_ecp5' on page 621 undefined +on input line 46922. -LaTeX Warning: Reference `cmd/synth_nanoxplore:cmd-synth_nanoxplore' on page 61 -9 undefined on input line 46923. +LaTeX Warning: Reference `cmd/synth_efinix:cmd-synth_efinix' on page 621 undefi +ned on input line 46923. -LaTeX Warning: Reference `cmd/synth_nexus:cmd-synth_nexus' on page 619 undefine -d on input line 46924. +LaTeX Warning: Reference `cmd/synth_fabulous:cmd-synth_fabulous' on page 621 un +defined on input line 46924. -LaTeX Warning: Reference `cmd/synth_quicklogic:cmd-synth_quicklogic' on page 61 -9 undefined on input line 46925. +LaTeX Warning: Reference `cmd/synth_gatemate:cmd-synth_gatemate' on page 621 un +defined on input line 46925. -LaTeX Warning: Reference `cmd/synth_sf2:cmd-synth_sf2' on page 619 undefined on - input line 46926. +LaTeX Warning: Reference `cmd/synth_gowin:cmd-synth_gowin' on page 621 undefine +d on input line 46926. -LaTeX Warning: Reference `cmd/synth_xilinx:cmd-synth_xilinx' on page 619 undefi -ned on input line 46927. +LaTeX Warning: Reference `cmd/synth_greenpak4:cmd-synth_greenpak4' on page 621 +undefined on input line 46927. -LaTeX Warning: Reference `cmd/synthprop:cmd-synthprop' on page 619 undefined on - input line 46928. +LaTeX Warning: Reference `cmd/synth_ice40:cmd-synth_ice40' on page 621 undefine +d on input line 46928. -LaTeX Warning: Reference `cmd/tcl:cmd-tcl' on page 619 undefined on input line -46929. +LaTeX Warning: Reference `cmd/synth_intel:cmd-synth_intel' on page 621 undefine +d on input line 46929. -LaTeX Warning: Reference `cmd/techmap:cmd-techmap' on page 619 undefined on inp -ut line 46930. +LaTeX Warning: Reference `cmd/synth_intel_alm:cmd-synth_intel_alm' on page 621 +undefined on input line 46930. -LaTeX Warning: Reference `cmd/tee:cmd-tee' on page 619 undefined on input line -46931. +LaTeX Warning: Reference `cmd/synth_lattice:cmd-synth_lattice' on page 621 unde +fined on input line 46931. -LaTeX Warning: Reference `cmd/test_abcloop:cmd-test_abcloop' on page 619 undefi -ned on input line 46932. +LaTeX Warning: Reference `cmd/synth_microchip:cmd-synth_microchip' on page 621 +undefined on input line 46932. -LaTeX Warning: Reference `cmd/test_autotb:cmd-test_autotb' on page 619 undefine -d on input line 46933. +LaTeX Warning: Reference `cmd/synth_nanoxplore:cmd-synth_nanoxplore' on page 62 +1 undefined on input line 46933. -LaTeX Warning: Reference `cmd/test_cell:cmd-test_cell' on page 619 undefined on - input line 46934. +LaTeX Warning: Reference `cmd/synth_nexus:cmd-synth_nexus' on page 621 undefine +d on input line 46934. -LaTeX Warning: Reference `cmd/test_generic:cmd-test_generic' on page 619 undefi -ned on input line 46935. +LaTeX Warning: Reference `cmd/synth_quicklogic:cmd-synth_quicklogic' on page 62 +1 undefined on input line 46935. -LaTeX Warning: Reference `cmd/test_pmgen:cmd-test_pmgen' on page 619 undefined -on input line 46936. +LaTeX Warning: Reference `cmd/synth_sf2:cmd-synth_sf2' on page 621 undefined on + input line 46936. +LaTeX Warning: Reference `cmd/synth_xilinx:cmd-synth_xilinx' on page 621 undefi +ned on input line 46937. -LaTeX Warning: Reference `cmd/torder:cmd-torder' on page 619 undefined on input - line 46937. +LaTeX Warning: Reference `cmd/synthprop:cmd-synthprop' on page 621 undefined on + input line 46938. -LaTeX Warning: Reference `cmd/trace:cmd-trace' on page 619 undefined on input l -ine 46938. +LaTeX Warning: Reference `cmd/tcl:cmd-tcl' on page 621 undefined on input line +46939. -LaTeX Warning: Reference `cmd/tribuf:cmd-tribuf' on page 619 undefined on input - line 46939. +LaTeX Warning: Reference `cmd/techmap:cmd-techmap' on page 621 undefined on inp +ut line 46940. -LaTeX Warning: Reference `cmd/uniquify:cmd-uniquify' on page 619 undefined on i -nput line 46940. +LaTeX Warning: Reference `cmd/tee:cmd-tee' on page 621 undefined on input line +46941. -LaTeX Warning: Reference `cmd/verific:cmd-verific' on page 619 undefined on inp -ut line 46941. +LaTeX Warning: Reference `cmd/test_abcloop:cmd-test_abcloop' on page 621 undefi +ned on input line 46942. -LaTeX Warning: Reference `cmd/verilog_defaults:cmd-verilog_defaults' on page 61 -9 undefined on input line 46942. +LaTeX Warning: Reference `cmd/test_autotb:cmd-test_autotb' on page 621 undefine +d on input line 46943. -LaTeX Warning: Reference `cmd/verilog_defines:cmd-verilog_defines' on page 619 -undefined on input line 46943. +LaTeX Warning: Reference `cmd/test_cell:cmd-test_cell' on page 621 undefined on + input line 46944. -LaTeX Warning: Reference `cmd/viz:cmd-viz' on page 619 undefined on input line -46944. +LaTeX Warning: Reference `cmd/test_generic:cmd-test_generic' on page 621 undefi +ned on input line 46945. -LaTeX Warning: Reference `cmd/wbflip:cmd-wbflip' on page 619 undefined on input - line 46945. +LaTeX Warning: Reference `cmd/test_pmgen:cmd-test_pmgen' on page 621 undefined +on input line 46946. -LaTeX Warning: Reference `cmd/wrapcell:cmd-wrapcell' on page 619 undefined on i -nput line 46946. -LaTeX Warning: Reference `cmd/wreduce:cmd-wreduce' on page 619 undefined on inp -ut line 46947. +LaTeX Warning: Reference `cmd/torder:cmd-torder' on page 621 undefined on input + line 46947. -LaTeX Warning: Reference `cmd/write_aiger:cmd-write_aiger' on page 619 undefine -d on input line 46948. +LaTeX Warning: Reference `cmd/trace:cmd-trace' on page 621 undefined on input l +ine 46948. -LaTeX Warning: Reference `cmd/write_aiger2:cmd-write_aiger2' on page 619 undefi -ned on input line 46949. +LaTeX Warning: Reference `cmd/tribuf:cmd-tribuf' on page 621 undefined on input + line 46949. -LaTeX Warning: Reference `cmd/write_blif:cmd-write_blif' on page 619 undefined -on input line 46950. +LaTeX Warning: Reference `cmd/uniquify:cmd-uniquify' on page 621 undefined on i +nput line 46950. -LaTeX Warning: Reference `cmd/write_btor:cmd-write_btor' on page 619 undefined -on input line 46951. +LaTeX Warning: Reference `cmd/verific:cmd-verific' on page 621 undefined on inp +ut line 46951. -LaTeX Warning: Reference `cmd/write_cxxrtl:cmd-write_cxxrtl' on page 619 undefi -ned on input line 46952. +LaTeX Warning: Reference `cmd/verilog_defaults:cmd-verilog_defaults' on page 62 +1 undefined on input line 46952. -LaTeX Warning: Reference `cmd/write_edif:cmd-write_edif' on page 619 undefined -on input line 46953. +LaTeX Warning: Reference `cmd/verilog_defines:cmd-verilog_defines' on page 621 +undefined on input line 46953. -LaTeX Warning: Reference `cmd/write_file:cmd-write_file' on page 619 undefined -on input line 46954. +LaTeX Warning: Reference `cmd/viz:cmd-viz' on page 621 undefined on input line +46954. -LaTeX Warning: Reference `cmd/write_firrtl:cmd-write_firrtl' on page 619 undefi -ned on input line 46955. +LaTeX Warning: Reference `cmd/wbflip:cmd-wbflip' on page 621 undefined on input + line 46955. -LaTeX Warning: Reference `cmd/write_functional_cxx:cmd-write_functional_cxx' on - page 619 undefined on input line 46956. +LaTeX Warning: Reference `cmd/wrapcell:cmd-wrapcell' on page 621 undefined on i +nput line 46956. -LaTeX Warning: Reference `cmd/write_functional_rosette:cmd-write_functional_ros -ette' on page 619 undefined on input line 46957. +LaTeX Warning: Reference `cmd/wreduce:cmd-wreduce' on page 621 undefined on inp +ut line 46957. -LaTeX Warning: Reference `cmd/write_functional_smt2:cmd-write_functional_smt2' -on page 619 undefined on input line 46958. +LaTeX Warning: Reference `cmd/write_aiger:cmd-write_aiger' on page 621 undefine +d on input line 46958. -LaTeX Warning: Reference `cmd/write_intersynth:cmd-write_intersynth' on page 61 -9 undefined on input line 46959. +LaTeX Warning: Reference `cmd/write_aiger2:cmd-write_aiger2' on page 621 undefi +ned on input line 46959. -LaTeX Warning: Reference `cmd/write_jny:cmd-write_jny' on page 619 undefined on - input line 46960. +LaTeX Warning: Reference `cmd/write_blif:cmd-write_blif' on page 621 undefined +on input line 46960. -LaTeX Warning: Reference `cmd/write_json:cmd-write_json' on page 619 undefined +LaTeX Warning: Reference `cmd/write_btor:cmd-write_btor' on page 621 undefined on input line 46961. -LaTeX Warning: Reference `cmd/write_rtlil:cmd-write_rtlil' on page 619 undefine -d on input line 46962. +LaTeX Warning: Reference `cmd/write_cxxrtl:cmd-write_cxxrtl' on page 621 undefi +ned on input line 46962. -LaTeX Warning: Reference `cmd/write_simplec:cmd-write_simplec' on page 619 unde -fined on input line 46963. +LaTeX Warning: Reference `cmd/write_edif:cmd-write_edif' on page 621 undefined +on input line 46963. -LaTeX Warning: Reference `cmd/write_smt2:cmd-write_smt2' on page 619 undefined +LaTeX Warning: Reference `cmd/write_file:cmd-write_file' on page 621 undefined on input line 46964. -LaTeX Warning: Reference `cmd/write_smv:cmd-write_smv' on page 619 undefined on - input line 46965. +LaTeX Warning: Reference `cmd/write_firrtl:cmd-write_firrtl' on page 621 undefi +ned on input line 46965. -LaTeX Warning: Reference `cmd/write_spice:cmd-write_spice' on page 619 undefine -d on input line 46966. +LaTeX Warning: Reference `cmd/write_functional_cxx:cmd-write_functional_cxx' on + page 621 undefined on input line 46966. -LaTeX Warning: Reference `cmd/write_table:cmd-write_table' on page 619 undefine -d on input line 46967. +LaTeX Warning: Reference `cmd/write_functional_rosette:cmd-write_functional_ros +ette' on page 621 undefined on input line 46967. -LaTeX Warning: Reference `cmd/write_verilog:cmd-write_verilog' on page 619 unde -fined on input line 46968. +LaTeX Warning: Reference `cmd/write_functional_smt2:cmd-write_functional_smt2' +on page 621 undefined on input line 46968. -LaTeX Warning: Reference `cmd/write_xaiger:cmd-write_xaiger' on page 619 undefi -ned on input line 46969. +LaTeX Warning: Reference `cmd/write_intersynth:cmd-write_intersynth' on page 62 +1 undefined on input line 46969. -LaTeX Warning: Reference `cmd/write_xaiger2:cmd-write_xaiger2' on page 619 unde -fined on input line 46970. +LaTeX Warning: Reference `cmd/write_jny:cmd-write_jny' on page 621 undefined on + input line 46970. -LaTeX Warning: Reference `cmd/xilinx_dffopt:cmd-xilinx_dffopt' on page 619 unde -fined on input line 46971. +LaTeX Warning: Reference `cmd/write_json:cmd-write_json' on page 621 undefined +on input line 46971. -LaTeX Warning: Reference `cmd/xilinx_dsp:cmd-xilinx_dsp' on page 619 undefined -on input line 46972. +LaTeX Warning: Reference `cmd/write_rtlil:cmd-write_rtlil' on page 621 undefine +d on input line 46972. -LaTeX Warning: Reference `cmd/xilinx_srl:cmd-xilinx_srl' on page 619 undefined -on input line 46973. +LaTeX Warning: Reference `cmd/write_simplec:cmd-write_simplec' on page 621 unde +fined on input line 46973. -LaTeX Warning: Reference `cmd/xprop:cmd-xprop' on page 619 undefined on input l -ine 46974. +LaTeX Warning: Reference `cmd/write_smt2:cmd-write_smt2' on page 621 undefined +on input line 46974. -LaTeX Warning: Reference `cmd/zinit:cmd-zinit' on page 619 undefined on input l -ine 46975. +LaTeX Warning: Reference `cmd/write_smv:cmd-write_smv' on page 621 undefined on + input line 46975. -[619] -[620] +LaTeX Warning: Reference `cmd/write_spice:cmd-write_spice' on page 621 undefine +d on input line 46976. -LaTeX Warning: Reference `cmd/abc:cmd-abc' on page 621 undefined on input line -46981. +LaTeX Warning: Reference `cmd/write_table:cmd-write_table' on page 621 undefine +d on input line 46977. -LaTeX Warning: Reference `cmd/abc9:cmd-abc9' on page 621 undefined on input lin -e 46982. +LaTeX Warning: Reference `cmd/write_verilog:cmd-write_verilog' on page 621 unde +fined on input line 46978. -LaTeX Warning: Reference `cmd/abc9_exe:cmd-abc9_exe' on page 621 undefined on i -nput line 46983. +LaTeX Warning: Reference `cmd/write_xaiger:cmd-write_xaiger' on page 621 undefi +ned on input line 46979. -LaTeX Warning: Reference `cmd/abc9_ops:cmd-abc9_ops' on page 621 undefined on i -nput line 46984. +LaTeX Warning: Reference `cmd/write_xaiger2:cmd-write_xaiger2' on page 621 unde +fined on input line 46980. -LaTeX Warning: Reference `cmd/abc_new:cmd-abc_new' on page 621 undefined on inp -ut line 46985. +LaTeX Warning: Reference `cmd/xilinx_dffopt:cmd-xilinx_dffopt' on page 621 unde +fined on input line 46981. -LaTeX Warning: Reference `cmd/abstract:cmd-abstract' on page 621 undefined on i -nput line 46986. +LaTeX Warning: Reference `cmd/xilinx_dsp:cmd-xilinx_dsp' on page 621 undefined +on input line 46982. -LaTeX Warning: Reference `cmd/add:cmd-add' on page 621 undefined on input line -46987. +LaTeX Warning: Reference `cmd/xilinx_srl:cmd-xilinx_srl' on page 621 undefined +on input line 46983. -LaTeX Warning: Reference `cmd/aigmap:cmd-aigmap' on page 621 undefined on input - line 46988. +LaTeX Warning: Reference `cmd/xprop:cmd-xprop' on page 621 undefined on input l +ine 46984. -LaTeX Warning: Reference `cmd/alumacc:cmd-alumacc' on page 621 undefined on inp -ut line 46989. +LaTeX Warning: Reference `cmd/zinit:cmd-zinit' on page 621 undefined on input l +ine 46985. -LaTeX Warning: Reference `cmd/anlogic_eqn:cmd-anlogic_eqn' on page 621 undefine -d on input line 46990. +[621] +[622] -LaTeX Warning: Reference `cmd/anlogic_fixcarry:cmd-anlogic_fixcarry' on page 62 -1 undefined on input line 46991. +LaTeX Warning: Reference `cmd/abc:cmd-abc' on page 623 undefined on input line +46991. -LaTeX Warning: Reference `cmd/assertpmux:cmd-assertpmux' on page 621 undefined -on input line 46992. +LaTeX Warning: Reference `cmd/abc9:cmd-abc9' on page 623 undefined on input lin +e 46992. -LaTeX Warning: Reference `cmd/async2sync:cmd-async2sync' on page 621 undefined -on input line 46993. +LaTeX Warning: Reference `cmd/abc9_exe:cmd-abc9_exe' on page 623 undefined on i +nput line 46993. -LaTeX Warning: Reference `cmd/attrmap:cmd-attrmap' on page 621 undefined on inp -ut line 46994. +LaTeX Warning: Reference `cmd/abc9_ops:cmd-abc9_ops' on page 623 undefined on i +nput line 46994. -LaTeX Warning: Reference `cmd/attrmvcp:cmd-attrmvcp' on page 621 undefined on i -nput line 46995. +LaTeX Warning: Reference `cmd/abc_new:cmd-abc_new' on page 623 undefined on inp +ut line 46995. -LaTeX Warning: Reference `cmd/autoname:cmd-autoname' on page 621 undefined on i +LaTeX Warning: Reference `cmd/abstract:cmd-abstract' on page 623 undefined on i nput line 46996. -LaTeX Warning: Reference `cmd/blackbox:cmd-blackbox' on page 621 undefined on i -nput line 46997. +LaTeX Warning: Reference `cmd/add:cmd-add' on page 623 undefined on input line +46997. -LaTeX Warning: Reference `cmd/bmuxmap:cmd-bmuxmap' on page 621 undefined on inp -ut line 46998. +LaTeX Warning: Reference `cmd/aigmap:cmd-aigmap' on page 623 undefined on input + line 46998. -LaTeX Warning: Reference `cmd/booth:cmd-booth' on page 621 undefined on input l -ine 46999. +LaTeX Warning: Reference `cmd/alumacc:cmd-alumacc' on page 623 undefined on inp +ut line 46999. -LaTeX Warning: Reference `cmd/box_derive:cmd-box_derive' on page 621 undefined -on input line 47000. +LaTeX Warning: Reference `cmd/anlogic_eqn:cmd-anlogic_eqn' on page 623 undefine +d on input line 47000. -LaTeX Warning: Reference `cmd/bufnorm:cmd-bufnorm' on page 621 undefined on inp -ut line 47001. +LaTeX Warning: Reference `cmd/anlogic_fixcarry:cmd-anlogic_fixcarry' on page 62 +3 undefined on input line 47001. -LaTeX Warning: Reference `cmd/bugpoint:cmd-bugpoint' on page 621 undefined on i -nput line 47002. +LaTeX Warning: Reference `cmd/assertpmux:cmd-assertpmux' on page 623 undefined +on input line 47002. -LaTeX Warning: Reference `cmd/bwmuxmap:cmd-bwmuxmap' on page 621 undefined on i -nput line 47003. +LaTeX Warning: Reference `cmd/async2sync:cmd-async2sync' on page 623 undefined +on input line 47003. -LaTeX Warning: Reference `cmd/cd:cmd-cd' on page 621 undefined on input line 47 -004. +LaTeX Warning: Reference `cmd/attrmap:cmd-attrmap' on page 623 undefined on inp +ut line 47004. -LaTeX Warning: Reference `cmd/cellmatch:cmd-cellmatch' on page 621 undefined on - input line 47005. +LaTeX Warning: Reference `cmd/attrmvcp:cmd-attrmvcp' on page 623 undefined on i +nput line 47005. -LaTeX Warning: Reference `cmd/check:cmd-check' on page 621 undefined on input l -ine 47006. +LaTeX Warning: Reference `cmd/autoname:cmd-autoname' on page 623 undefined on i +nput line 47006. -LaTeX Warning: Reference `cmd/chformal:cmd-chformal' on page 621 undefined on i +LaTeX Warning: Reference `cmd/blackbox:cmd-blackbox' on page 623 undefined on i nput line 47007. -LaTeX Warning: Reference `cmd/chparam:cmd-chparam' on page 621 undefined on inp +LaTeX Warning: Reference `cmd/bmuxmap:cmd-bmuxmap' on page 623 undefined on inp ut line 47008. -LaTeX Warning: Reference `cmd/chtype:cmd-chtype' on page 621 undefined on input - line 47009. +LaTeX Warning: Reference `cmd/booth:cmd-booth' on page 623 undefined on input l +ine 47009. -LaTeX Warning: Reference `cmd/clean:cmd-clean' on page 621 undefined on input l -ine 47010. +LaTeX Warning: Reference `cmd/box_derive:cmd-box_derive' on page 623 undefined +on input line 47010. -LaTeX Warning: Reference `cmd/clean_zerowidth:cmd-clean_zerowidth' on page 621 -undefined on input line 47011. +LaTeX Warning: Reference `cmd/bufnorm:cmd-bufnorm' on page 623 undefined on inp +ut line 47011. -LaTeX Warning: Reference `cmd/clk2fflogic:cmd-clk2fflogic' on page 621 undefine -d on input line 47012. +LaTeX Warning: Reference `cmd/bugpoint:cmd-bugpoint' on page 623 undefined on i +nput line 47012. -LaTeX Warning: Reference `cmd/clkbufmap:cmd-clkbufmap' on page 621 undefined on - input line 47013. +LaTeX Warning: Reference `cmd/bwmuxmap:cmd-bwmuxmap' on page 623 undefined on i +nput line 47013. -LaTeX Warning: Reference `cmd/clockgate:cmd-clockgate' on page 621 undefined on - input line 47014. +LaTeX Warning: Reference `cmd/cd:cmd-cd' on page 623 undefined on input line 47 +014. -LaTeX Warning: Reference `cmd/connect:cmd-connect' on page 621 undefined on inp -ut line 47015. +LaTeX Warning: Reference `cmd/cellmatch:cmd-cellmatch' on page 623 undefined on + input line 47015. -LaTeX Warning: Reference `cmd/connect_rpc:cmd-connect_rpc' on page 621 undefine -d on input line 47016. +LaTeX Warning: Reference `cmd/check:cmd-check' on page 623 undefined on input l +ine 47016. -LaTeX Warning: Reference `cmd/connwrappers:cmd-connwrappers' on page 621 undefi -ned on input line 47017. +LaTeX Warning: Reference `cmd/chformal:cmd-chformal' on page 623 undefined on i +nput line 47017. -LaTeX Warning: Reference `cmd/coolrunner2_fixup:cmd-coolrunner2_fixup' on page -621 undefined on input line 47018. +LaTeX Warning: Reference `cmd/chparam:cmd-chparam' on page 623 undefined on inp +ut line 47018. -LaTeX Warning: Reference `cmd/coolrunner2_sop:cmd-coolrunner2_sop' on page 621 -undefined on input line 47019. +LaTeX Warning: Reference `cmd/chtype:cmd-chtype' on page 623 undefined on input + line 47019. -LaTeX Warning: Reference `cmd/copy:cmd-copy' on page 621 undefined on input lin -e 47020. +LaTeX Warning: Reference `cmd/clean:cmd-clean' on page 623 undefined on input l +ine 47020. -LaTeX Warning: Reference `cmd/cover:cmd-cover' on page 621 undefined on input l -ine 47021. +LaTeX Warning: Reference `cmd/clean_zerowidth:cmd-clean_zerowidth' on page 623 +undefined on input line 47021. -LaTeX Warning: Reference `cmd/cutpoint:cmd-cutpoint' on page 621 undefined on i -nput line 47022. +LaTeX Warning: Reference `cmd/clk2fflogic:cmd-clk2fflogic' on page 623 undefine +d on input line 47022. -LaTeX Warning: Reference `cmd/debug:cmd-debug' on page 621 undefined on input l -ine 47023. +LaTeX Warning: Reference `cmd/clkbufmap:cmd-clkbufmap' on page 623 undefined on + input line 47023. +LaTeX Warning: Reference `cmd/clockgate:cmd-clockgate' on page 623 undefined on + input line 47024. -LaTeX Warning: Reference `cmd/delete:cmd-delete' on page 621 undefined on input - line 47024. +LaTeX Warning: Reference `cmd/connect:cmd-connect' on page 623 undefined on inp +ut line 47025. -LaTeX Warning: Reference `cmd/deminout:cmd-deminout' on page 621 undefined on i -nput line 47025. +LaTeX Warning: Reference `cmd/connect_rpc:cmd-connect_rpc' on page 623 undefine +d on input line 47026. -LaTeX Warning: Reference `cmd/demuxmap:cmd-demuxmap' on page 621 undefined on i -nput line 47026. +LaTeX Warning: Reference `cmd/connwrappers:cmd-connwrappers' on page 623 undefi +ned on input line 47027. -LaTeX Warning: Reference `cmd/design:cmd-design' on page 621 undefined on input - line 47027. +LaTeX Warning: Reference `cmd/coolrunner2_fixup:cmd-coolrunner2_fixup' on page +623 undefined on input line 47028. -LaTeX Warning: Reference `cmd/dffinit:cmd-dffinit' on page 621 undefined on inp -ut line 47028. +LaTeX Warning: Reference `cmd/coolrunner2_sop:cmd-coolrunner2_sop' on page 623 +undefined on input line 47029. -LaTeX Warning: Reference `cmd/dfflegalize:cmd-dfflegalize' on page 621 undefine -d on input line 47029. +LaTeX Warning: Reference `cmd/copy:cmd-copy' on page 623 undefined on input lin +e 47030. -LaTeX Warning: Reference `cmd/dfflibmap:cmd-dfflibmap' on page 621 undefined on - input line 47030. +LaTeX Warning: Reference `cmd/cover:cmd-cover' on page 623 undefined on input l +ine 47031. -LaTeX Warning: Reference `cmd/dffunmap:cmd-dffunmap' on page 621 undefined on i -nput line 47031. +LaTeX Warning: Reference `cmd/cutpoint:cmd-cutpoint' on page 623 undefined on i +nput line 47032. -LaTeX Warning: Reference `cmd/dft_tag:cmd-dft_tag' on page 621 undefined on inp -ut line 47032. +LaTeX Warning: Reference `cmd/debug:cmd-debug' on page 623 undefined on input l +ine 47033. -LaTeX Warning: Reference `cmd/dump:cmd-dump' on page 621 undefined on input lin -e 47033. -LaTeX Warning: Reference `cmd/echo:cmd-echo' on page 621 undefined on input lin -e 47034. +LaTeX Warning: Reference `cmd/delete:cmd-delete' on page 623 undefined on input + line 47034. -LaTeX Warning: Reference `cmd/edgetypes:cmd-edgetypes' on page 621 undefined on - input line 47035. +LaTeX Warning: Reference `cmd/deminout:cmd-deminout' on page 623 undefined on i +nput line 47035. -LaTeX Warning: Reference `cmd/efinix_fixcarry:cmd-efinix_fixcarry' on page 621 -undefined on input line 47036. +LaTeX Warning: Reference `cmd/demuxmap:cmd-demuxmap' on page 623 undefined on i +nput line 47036. -LaTeX Warning: Reference `cmd/equiv_add:cmd-equiv_add' on page 621 undefined on - input line 47037. +LaTeX Warning: Reference `cmd/design:cmd-design' on page 623 undefined on input + line 47037. -LaTeX Warning: Reference `cmd/equiv_induct:cmd-equiv_induct' on page 621 undefi -ned on input line 47038. +LaTeX Warning: Reference `cmd/dffinit:cmd-dffinit' on page 623 undefined on inp +ut line 47038. -LaTeX Warning: Reference `cmd/equiv_make:cmd-equiv_make' on page 621 undefined -on input line 47039. +LaTeX Warning: Reference `cmd/dfflegalize:cmd-dfflegalize' on page 623 undefine +d on input line 47039. -LaTeX Warning: Reference `cmd/equiv_mark:cmd-equiv_mark' on page 621 undefined -on input line 47040. +LaTeX Warning: Reference `cmd/dfflibmap:cmd-dfflibmap' on page 623 undefined on + input line 47040. -LaTeX Warning: Reference `cmd/equiv_miter:cmd-equiv_miter' on page 621 undefine -d on input line 47041. +LaTeX Warning: Reference `cmd/dffunmap:cmd-dffunmap' on page 623 undefined on i +nput line 47041. -LaTeX Warning: Reference `cmd/equiv_opt:cmd-equiv_opt' on page 621 undefined on - input line 47042. +LaTeX Warning: Reference `cmd/dft_tag:cmd-dft_tag' on page 623 undefined on inp +ut line 47042. -LaTeX Warning: Reference `cmd/equiv_purge:cmd-equiv_purge' on page 621 undefine -d on input line 47043. +LaTeX Warning: Reference `cmd/dump:cmd-dump' on page 623 undefined on input lin +e 47043. -LaTeX Warning: Reference `cmd/equiv_remove:cmd-equiv_remove' on page 621 undefi -ned on input line 47044. +LaTeX Warning: Reference `cmd/echo:cmd-echo' on page 623 undefined on input lin +e 47044. -LaTeX Warning: Reference `cmd/equiv_simple:cmd-equiv_simple' on page 621 undefi -ned on input line 47045. +LaTeX Warning: Reference `cmd/edgetypes:cmd-edgetypes' on page 623 undefined on + input line 47045. -LaTeX Warning: Reference `cmd/equiv_status:cmd-equiv_status' on page 621 undefi -ned on input line 47046. +LaTeX Warning: Reference `cmd/efinix_fixcarry:cmd-efinix_fixcarry' on page 623 +undefined on input line 47046. -LaTeX Warning: Reference `cmd/equiv_struct:cmd-equiv_struct' on page 621 undefi -ned on input line 47047. +LaTeX Warning: Reference `cmd/equiv_add:cmd-equiv_add' on page 623 undefined on + input line 47047. -LaTeX Warning: Reference `cmd/eval:cmd-eval' on page 621 undefined on input lin -e 47048. +LaTeX Warning: Reference `cmd/equiv_induct:cmd-equiv_induct' on page 623 undefi +ned on input line 47048. -LaTeX Warning: Reference `cmd/example_dt:cmd-example_dt' on page 621 undefined +LaTeX Warning: Reference `cmd/equiv_make:cmd-equiv_make' on page 623 undefined on input line 47049. -LaTeX Warning: Reference `cmd/exec:cmd-exec' on page 621 undefined on input lin -e 47050. +LaTeX Warning: Reference `cmd/equiv_mark:cmd-equiv_mark' on page 623 undefined +on input line 47050. -LaTeX Warning: Reference `cmd/expose:cmd-expose' on page 621 undefined on input - line 47051. +LaTeX Warning: Reference `cmd/equiv_miter:cmd-equiv_miter' on page 623 undefine +d on input line 47051. -LaTeX Warning: Reference `cmd/extract:cmd-extract' on page 621 undefined on inp -ut line 47052. +LaTeX Warning: Reference `cmd/equiv_opt:cmd-equiv_opt' on page 623 undefined on + input line 47052. -LaTeX Warning: Reference `cmd/extract_counter:cmd-extract_counter' on page 621 -undefined on input line 47053. +LaTeX Warning: Reference `cmd/equiv_purge:cmd-equiv_purge' on page 623 undefine +d on input line 47053. -LaTeX Warning: Reference `cmd/extract_fa:cmd-extract_fa' on page 621 undefined -on input line 47054. +LaTeX Warning: Reference `cmd/equiv_remove:cmd-equiv_remove' on page 623 undefi +ned on input line 47054. -LaTeX Warning: Reference `cmd/extract_reduce:cmd-extract_reduce' on page 621 un -defined on input line 47055. +LaTeX Warning: Reference `cmd/equiv_simple:cmd-equiv_simple' on page 623 undefi +ned on input line 47055. -LaTeX Warning: Reference `cmd/extractinv:cmd-extractinv' on page 621 undefined -on input line 47056. +LaTeX Warning: Reference `cmd/equiv_status:cmd-equiv_status' on page 623 undefi +ned on input line 47056. -LaTeX Warning: Reference `cmd/flatten:cmd-flatten' on page 621 undefined on inp -ut line 47057. +LaTeX Warning: Reference `cmd/equiv_struct:cmd-equiv_struct' on page 623 undefi +ned on input line 47057. -LaTeX Warning: Reference `cmd/flowmap:cmd-flowmap' on page 621 undefined on inp -ut line 47058. +LaTeX Warning: Reference `cmd/eval:cmd-eval' on page 623 undefined on input lin +e 47058. -LaTeX Warning: Reference `cmd/fmcombine:cmd-fmcombine' on page 621 undefined on - input line 47059. +LaTeX Warning: Reference `cmd/example_dt:cmd-example_dt' on page 623 undefined +on input line 47059. -LaTeX Warning: Reference `cmd/fminit:cmd-fminit' on page 621 undefined on input - line 47060. +LaTeX Warning: Reference `cmd/exec:cmd-exec' on page 623 undefined on input lin +e 47060. -LaTeX Warning: Reference `cmd/formalff:cmd-formalff' on page 621 undefined on i -nput line 47061. +LaTeX Warning: Reference `cmd/expose:cmd-expose' on page 623 undefined on input + line 47061. -LaTeX Warning: Reference `cmd/freduce:cmd-freduce' on page 621 undefined on inp +LaTeX Warning: Reference `cmd/extract:cmd-extract' on page 623 undefined on inp ut line 47062. -LaTeX Warning: Reference `cmd/fsm:cmd-fsm' on page 621 undefined on input line -47063. +LaTeX Warning: Reference `cmd/extract_counter:cmd-extract_counter' on page 623 +undefined on input line 47063. -LaTeX Warning: Reference `cmd/fsm_detect:cmd-fsm_detect' on page 621 undefined +LaTeX Warning: Reference `cmd/extract_fa:cmd-extract_fa' on page 623 undefined on input line 47064. -LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 621 undefined -on input line 47065. +LaTeX Warning: Reference `cmd/extract_reduce:cmd-extract_reduce' on page 623 un +defined on input line 47065. -[621] - -LaTeX Warning: Reference `cmd/fsm_export:cmd-fsm_export' on page 622 undefined +LaTeX Warning: Reference `cmd/extractinv:cmd-extractinv' on page 623 undefined on input line 47066. -LaTeX Warning: Reference `cmd/fsm_extract:cmd-fsm_extract' on page 622 undefine -d on input line 47067. +LaTeX Warning: Reference `cmd/flatten:cmd-flatten' on page 623 undefined on inp +ut line 47067. -LaTeX Warning: Reference `cmd/fsm_info:cmd-fsm_info' on page 622 undefined on i -nput line 47068. +LaTeX Warning: Reference `cmd/flowmap:cmd-flowmap' on page 623 undefined on inp +ut line 47068. -LaTeX Warning: Reference `cmd/fsm_map:cmd-fsm_map' on page 622 undefined on inp -ut line 47069. +LaTeX Warning: Reference `cmd/fmcombine:cmd-fmcombine' on page 623 undefined on + input line 47069. -LaTeX Warning: Reference `cmd/fsm_opt:cmd-fsm_opt' on page 622 undefined on inp -ut line 47070. +LaTeX Warning: Reference `cmd/fminit:cmd-fminit' on page 623 undefined on input + line 47070. -LaTeX Warning: Reference `cmd/fsm_recode:cmd-fsm_recode' on page 622 undefined -on input line 47071. +LaTeX Warning: Reference `cmd/formalff:cmd-formalff' on page 623 undefined on i +nput line 47071. -LaTeX Warning: Reference `cmd/fst2tb:cmd-fst2tb' on page 622 undefined on input - line 47072. +LaTeX Warning: Reference `cmd/freduce:cmd-freduce' on page 623 undefined on inp +ut line 47072. -LaTeX Warning: Reference `cmd/future:cmd-future' on page 622 undefined on input - line 47073. +LaTeX Warning: Reference `cmd/fsm:cmd-fsm' on page 623 undefined on input line +47073. -LaTeX Warning: Reference `cmd/gatemate_foldinv:cmd-gatemate_foldinv' on page 62 -2 undefined on input line 47074. +LaTeX Warning: Reference `cmd/fsm_detect:cmd-fsm_detect' on page 623 undefined +on input line 47074. -LaTeX Warning: Reference `cmd/glift:cmd-glift' on page 622 undefined on input l -ine 47075. +LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 623 undefined +on input line 47075. -LaTeX Warning: Reference `cmd/greenpak4_dffinv:cmd-greenpak4_dffinv' on page 62 -2 undefined on input line 47076. +[623] + +LaTeX Warning: Reference `cmd/fsm_export:cmd-fsm_export' on page 624 undefined +on input line 47076. -LaTeX Warning: Reference `cmd/help:cmd-help' on page 622 undefined on input lin -e 47077. +LaTeX Warning: Reference `cmd/fsm_extract:cmd-fsm_extract' on page 624 undefine +d on input line 47077. -LaTeX Warning: Reference `cmd/hierarchy:cmd-hierarchy' on page 622 undefined on - input line 47078. +LaTeX Warning: Reference `cmd/fsm_info:cmd-fsm_info' on page 624 undefined on i +nput line 47078. -LaTeX Warning: Reference `cmd/hilomap:cmd-hilomap' on page 622 undefined on inp +LaTeX Warning: Reference `cmd/fsm_map:cmd-fsm_map' on page 624 undefined on inp ut line 47079. -LaTeX Warning: Reference `cmd/history:cmd-history' on page 622 undefined on inp +LaTeX Warning: Reference `cmd/fsm_opt:cmd-fsm_opt' on page 624 undefined on inp ut line 47080. -LaTeX Warning: Reference `cmd/ice40_braminit:cmd-ice40_braminit' on page 622 un -defined on input line 47081. +LaTeX Warning: Reference `cmd/fsm_recode:cmd-fsm_recode' on page 624 undefined +on input line 47081. -LaTeX Warning: Reference `cmd/ice40_dsp:cmd-ice40_dsp' on page 622 undefined on - input line 47082. +LaTeX Warning: Reference `cmd/fst2tb:cmd-fst2tb' on page 624 undefined on input + line 47082. -LaTeX Warning: Reference `cmd/ice40_opt:cmd-ice40_opt' on page 622 undefined on - input line 47083. +LaTeX Warning: Reference `cmd/future:cmd-future' on page 624 undefined on input + line 47083. -LaTeX Warning: Reference `cmd/ice40_wrapcarry:cmd-ice40_wrapcarry' on page 622 -undefined on input line 47084. +LaTeX Warning: Reference `cmd/gatemate_foldinv:cmd-gatemate_foldinv' on page 62 +4 undefined on input line 47084. -LaTeX Warning: Reference `cmd/insbuf:cmd-insbuf' on page 622 undefined on input - line 47085. +LaTeX Warning: Reference `cmd/glift:cmd-glift' on page 624 undefined on input l +ine 47085. -LaTeX Warning: Reference `cmd/internal_stats:cmd-internal_stats' on page 622 un -defined on input line 47086. +LaTeX Warning: Reference `cmd/greenpak4_dffinv:cmd-greenpak4_dffinv' on page 62 +4 undefined on input line 47086. -LaTeX Warning: Reference `cmd/iopadmap:cmd-iopadmap' on page 622 undefined on i -nput line 47087. +LaTeX Warning: Reference `cmd/help:cmd-help' on page 624 undefined on input lin +e 47087. -LaTeX Warning: Reference `cmd/jny:cmd-jny' on page 622 undefined on input line -47088. +LaTeX Warning: Reference `cmd/hierarchy:cmd-hierarchy' on page 624 undefined on + input line 47088. -LaTeX Warning: Reference `cmd/json:cmd-json' on page 622 undefined on input lin -e 47089. +LaTeX Warning: Reference `cmd/hilomap:cmd-hilomap' on page 624 undefined on inp +ut line 47089. -LaTeX Warning: Reference `cmd/keep_hierarchy:cmd-keep_hierarchy' on page 622 un -defined on input line 47090. +LaTeX Warning: Reference `cmd/history:cmd-history' on page 624 undefined on inp +ut line 47090. -LaTeX Warning: Reference `cmd/lattice_gsr:cmd-lattice_gsr' on page 622 undefine -d on input line 47091. +LaTeX Warning: Reference `cmd/ice40_braminit:cmd-ice40_braminit' on page 624 un +defined on input line 47091. -LaTeX Warning: Reference `cmd/license:cmd-license' on page 622 undefined on inp -ut line 47092. +LaTeX Warning: Reference `cmd/ice40_dsp:cmd-ice40_dsp' on page 624 undefined on + input line 47092. -LaTeX Warning: Reference `cmd/log:cmd-log' on page 622 undefined on input line -47093. +LaTeX Warning: Reference `cmd/ice40_opt:cmd-ice40_opt' on page 624 undefined on + input line 47093. -LaTeX Warning: Reference `cmd/logger:cmd-logger' on page 622 undefined on input - line 47094. +LaTeX Warning: Reference `cmd/ice40_wrapcarry:cmd-ice40_wrapcarry' on page 624 +undefined on input line 47094. -LaTeX Warning: Reference `cmd/ls:cmd-ls' on page 622 undefined on input line 47 -095. +LaTeX Warning: Reference `cmd/insbuf:cmd-insbuf' on page 624 undefined on input + line 47095. -LaTeX Warning: Reference `cmd/ltp:cmd-ltp' on page 622 undefined on input line -47096. +LaTeX Warning: Reference `cmd/internal_stats:cmd-internal_stats' on page 624 un +defined on input line 47096. -LaTeX Warning: Reference `cmd/lut2mux:cmd-lut2mux' on page 622 undefined on inp -ut line 47097. +LaTeX Warning: Reference `cmd/iopadmap:cmd-iopadmap' on page 624 undefined on i +nput line 47097. -LaTeX Warning: Reference `cmd/maccmap:cmd-maccmap' on page 622 undefined on inp -ut line 47098. +LaTeX Warning: Reference `cmd/jny:cmd-jny' on page 624 undefined on input line +47098. -LaTeX Warning: Reference `cmd/memory:cmd-memory' on page 622 undefined on input - line 47099. +LaTeX Warning: Reference `cmd/json:cmd-json' on page 624 undefined on input lin +e 47099. -LaTeX Warning: Reference `cmd/memory_bmux2rom:cmd-memory_bmux2rom' on page 622 -undefined on input line 47100. +LaTeX Warning: Reference `cmd/keep_hierarchy:cmd-keep_hierarchy' on page 624 un +defined on input line 47100. -LaTeX Warning: Reference `cmd/memory_bram:cmd-memory_bram' on page 622 undefine +LaTeX Warning: Reference `cmd/lattice_gsr:cmd-lattice_gsr' on page 624 undefine d on input line 47101. -LaTeX Warning: Reference `cmd/memory_collect:cmd-memory_collect' on page 622 un -defined on input line 47102. +LaTeX Warning: Reference `cmd/license:cmd-license' on page 624 undefined on inp +ut line 47102. -LaTeX Warning: Reference `cmd/memory_dff:cmd-memory_dff' on page 622 undefined -on input line 47103. +LaTeX Warning: Reference `cmd/log:cmd-log' on page 624 undefined on input line +47103. -LaTeX Warning: Reference `cmd/memory_libmap:cmd-memory_libmap' on page 622 unde -fined on input line 47104. +LaTeX Warning: Reference `cmd/logger:cmd-logger' on page 624 undefined on input + line 47104. -LaTeX Warning: Reference `cmd/memory_map:cmd-memory_map' on page 622 undefined -on input line 47105. +LaTeX Warning: Reference `cmd/ls:cmd-ls' on page 624 undefined on input line 47 +105. -LaTeX Warning: Reference `cmd/memory_memx:cmd-memory_memx' on page 622 undefine -d on input line 47106. +LaTeX Warning: Reference `cmd/ltp:cmd-ltp' on page 624 undefined on input line +47106. -LaTeX Warning: Reference `cmd/memory_narrow:cmd-memory_narrow' on page 622 unde -fined on input line 47107. +LaTeX Warning: Reference `cmd/lut2mux:cmd-lut2mux' on page 624 undefined on inp +ut line 47107. -LaTeX Warning: Reference `cmd/memory_nordff:cmd-memory_nordff' on page 622 unde -fined on input line 47108. +LaTeX Warning: Reference `cmd/maccmap:cmd-maccmap' on page 624 undefined on inp +ut line 47108. -LaTeX Warning: Reference `cmd/memory_share:cmd-memory_share' on page 622 undefi -ned on input line 47109. +LaTeX Warning: Reference `cmd/memory:cmd-memory' on page 624 undefined on input + line 47109. -LaTeX Warning: Reference `cmd/memory_unpack:cmd-memory_unpack' on page 622 unde -fined on input line 47110. +LaTeX Warning: Reference `cmd/memory_bmux2rom:cmd-memory_bmux2rom' on page 624 +undefined on input line 47110. -LaTeX Warning: Reference `cmd/microchip_dffopt:cmd-microchip_dffopt' on page 62 -2 undefined on input line 47111. - +LaTeX Warning: Reference `cmd/memory_bram:cmd-memory_bram' on page 624 undefine +d on input line 47111. -LaTeX Warning: Reference `cmd/microchip_dsp:cmd-microchip_dsp' on page 622 unde -fined on input line 47112. +LaTeX Warning: Reference `cmd/memory_collect:cmd-memory_collect' on page 624 un +defined on input line 47112. -LaTeX Warning: Reference `cmd/miter:cmd-miter' on page 622 undefined on input l -ine 47113. +LaTeX Warning: Reference `cmd/memory_dff:cmd-memory_dff' on page 624 undefined +on input line 47113. -LaTeX Warning: Reference `cmd/mutate:cmd-mutate' on page 622 undefined on input - line 47114. +LaTeX Warning: Reference `cmd/memory_libmap:cmd-memory_libmap' on page 624 unde +fined on input line 47114. -LaTeX Warning: Reference `cmd/muxcover:cmd-muxcover' on page 622 undefined on i -nput line 47115. +LaTeX Warning: Reference `cmd/memory_map:cmd-memory_map' on page 624 undefined +on input line 47115. -LaTeX Warning: Reference `cmd/muxpack:cmd-muxpack' on page 622 undefined on inp -ut line 47116. +LaTeX Warning: Reference `cmd/memory_memx:cmd-memory_memx' on page 624 undefine +d on input line 47116. -LaTeX Warning: Reference `cmd/nlutmap:cmd-nlutmap' on page 622 undefined on inp -ut line 47117. +LaTeX Warning: Reference `cmd/memory_narrow:cmd-memory_narrow' on page 624 unde +fined on input line 47117. -LaTeX Warning: Reference `cmd/nx_carry:cmd-nx_carry' on page 622 undefined on i -nput line 47118. +LaTeX Warning: Reference `cmd/memory_nordff:cmd-memory_nordff' on page 624 unde +fined on input line 47118. -LaTeX Warning: Reference `cmd/onehot:cmd-onehot' on page 622 undefined on input - line 47119. +LaTeX Warning: Reference `cmd/memory_share:cmd-memory_share' on page 624 undefi +ned on input line 47119. -LaTeX Warning: Reference `cmd/opt:cmd-opt' on page 622 undefined on input line -47120. +LaTeX Warning: Reference `cmd/memory_unpack:cmd-memory_unpack' on page 624 unde +fined on input line 47120. -LaTeX Warning: Reference `cmd/opt_clean:cmd-opt_clean' on page 622 undefined on - input line 47121. +LaTeX Warning: Reference `cmd/microchip_dffopt:cmd-microchip_dffopt' on page 62 +4 undefined on input line 47121. -LaTeX Warning: Reference `cmd/opt_demorgan:cmd-opt_demorgan' on page 622 undefi -ned on input line 47122. +LaTeX Warning: Reference `cmd/microchip_dsp:cmd-microchip_dsp' on page 624 unde +fined on input line 47122. -LaTeX Warning: Reference `cmd/opt_dff:cmd-opt_dff' on page 622 undefined on inp -ut line 47123. +LaTeX Warning: Reference `cmd/miter:cmd-miter' on page 624 undefined on input l +ine 47123. -LaTeX Warning: Reference `cmd/opt_expr:cmd-opt_expr' on page 622 undefined on i -nput line 47124. +LaTeX Warning: Reference `cmd/mutate:cmd-mutate' on page 624 undefined on input + line 47124. -LaTeX Warning: Reference `cmd/opt_ffinv:cmd-opt_ffinv' on page 622 undefined on - input line 47125. +LaTeX Warning: Reference `cmd/muxcover:cmd-muxcover' on page 624 undefined on i +nput line 47125. -LaTeX Warning: Reference `cmd/opt_lut:cmd-opt_lut' on page 622 undefined on inp +LaTeX Warning: Reference `cmd/muxpack:cmd-muxpack' on page 624 undefined on inp ut line 47126. -LaTeX Warning: Reference `cmd/opt_lut_ins:cmd-opt_lut_ins' on page 622 undefine -d on input line 47127. +LaTeX Warning: Reference `cmd/nlutmap:cmd-nlutmap' on page 624 undefined on inp +ut line 47127. -LaTeX Warning: Reference `cmd/opt_mem:cmd-opt_mem' on page 622 undefined on inp -ut line 47128. +LaTeX Warning: Reference `cmd/nx_carry:cmd-nx_carry' on page 624 undefined on i +nput line 47128. -LaTeX Warning: Reference `cmd/opt_mem_feedback:cmd-opt_mem_feedback' on page 62 -2 undefined on input line 47129. +LaTeX Warning: Reference `cmd/onehot:cmd-onehot' on page 624 undefined on input + line 47129. -LaTeX Warning: Reference `cmd/opt_mem_priority:cmd-opt_mem_priority' on page 62 -2 undefined on input line 47130. + +LaTeX Warning: Reference `cmd/opt:cmd-opt' on page 624 undefined on input line +47130. -LaTeX Warning: Reference `cmd/opt_mem_widen:cmd-opt_mem_widen' on page 622 unde -fined on input line 47131. +LaTeX Warning: Reference `cmd/opt_clean:cmd-opt_clean' on page 624 undefined on + input line 47131. -LaTeX Warning: Reference `cmd/opt_merge:cmd-opt_merge' on page 622 undefined on - input line 47132. +LaTeX Warning: Reference `cmd/opt_demorgan:cmd-opt_demorgan' on page 624 undefi +ned on input line 47132. -LaTeX Warning: Reference `cmd/opt_muxtree:cmd-opt_muxtree' on page 622 undefine -d on input line 47133. +LaTeX Warning: Reference `cmd/opt_dff:cmd-opt_dff' on page 624 undefined on inp +ut line 47133. -LaTeX Warning: Reference `cmd/opt_reduce:cmd-opt_reduce' on page 622 undefined -on input line 47134. +LaTeX Warning: Reference `cmd/opt_expr:cmd-opt_expr' on page 624 undefined on i +nput line 47134. -LaTeX Warning: Reference `cmd/opt_share:cmd-opt_share' on page 622 undefined on +LaTeX Warning: Reference `cmd/opt_ffinv:cmd-opt_ffinv' on page 624 undefined on input line 47135. -LaTeX Warning: Reference `cmd/paramap:cmd-paramap' on page 622 undefined on inp +LaTeX Warning: Reference `cmd/opt_lut:cmd-opt_lut' on page 624 undefined on inp ut line 47136. -LaTeX Warning: Reference `cmd/peepopt:cmd-peepopt' on page 622 undefined on inp -ut line 47137. +LaTeX Warning: Reference `cmd/opt_lut_ins:cmd-opt_lut_ins' on page 624 undefine +d on input line 47137. -LaTeX Warning: Reference `cmd/plugin:cmd-plugin' on page 622 undefined on input - line 47138. +LaTeX Warning: Reference `cmd/opt_mem:cmd-opt_mem' on page 624 undefined on inp +ut line 47138. -LaTeX Warning: Reference `cmd/pmux2shiftx:cmd-pmux2shiftx' on page 622 undefine -d on input line 47139. +LaTeX Warning: Reference `cmd/opt_mem_feedback:cmd-opt_mem_feedback' on page 62 +4 undefined on input line 47139. -LaTeX Warning: Reference `cmd/pmuxtree:cmd-pmuxtree' on page 622 undefined on i -nput line 47140. +LaTeX Warning: Reference `cmd/opt_mem_priority:cmd-opt_mem_priority' on page 62 +4 undefined on input line 47140. -LaTeX Warning: Reference `cmd/portarcs:cmd-portarcs' on page 622 undefined on i -nput line 47141. +LaTeX Warning: Reference `cmd/opt_mem_widen:cmd-opt_mem_widen' on page 624 unde +fined on input line 47141. -LaTeX Warning: Reference `cmd/portlist:cmd-portlist' on page 622 undefined on i -nput line 47142. +LaTeX Warning: Reference `cmd/opt_merge:cmd-opt_merge' on page 624 undefined on + input line 47142. -LaTeX Warning: Reference `cmd/prep:cmd-prep' on page 622 undefined on input lin -e 47143. +LaTeX Warning: Reference `cmd/opt_muxtree:cmd-opt_muxtree' on page 624 undefine +d on input line 47143. -LaTeX Warning: Reference `cmd/printattrs:cmd-printattrs' on page 622 undefined +LaTeX Warning: Reference `cmd/opt_reduce:cmd-opt_reduce' on page 624 undefined on input line 47144. -LaTeX Warning: Reference `cmd/proc:cmd-proc' on page 622 undefined on input lin -e 47145. +LaTeX Warning: Reference `cmd/opt_share:cmd-opt_share' on page 624 undefined on + input line 47145. -LaTeX Warning: Reference `cmd/proc_arst:cmd-proc_arst' on page 622 undefined on - input line 47146. +LaTeX Warning: Reference `cmd/paramap:cmd-paramap' on page 624 undefined on inp +ut line 47146. -LaTeX Warning: Reference `cmd/proc_clean:cmd-proc_clean' on page 622 undefined -on input line 47147. +LaTeX Warning: Reference `cmd/peepopt:cmd-peepopt' on page 624 undefined on inp +ut line 47147. -LaTeX Warning: Reference `cmd/proc_dff:cmd-proc_dff' on page 622 undefined on i -nput line 47148. +LaTeX Warning: Reference `cmd/plugin:cmd-plugin' on page 624 undefined on input + line 47148. -LaTeX Warning: Reference `cmd/proc_dlatch:cmd-proc_dlatch' on page 622 undefine +LaTeX Warning: Reference `cmd/pmux2shiftx:cmd-pmux2shiftx' on page 624 undefine d on input line 47149. -LaTeX Warning: Reference `cmd/proc_init:cmd-proc_init' on page 622 undefined on - input line 47150. +LaTeX Warning: Reference `cmd/pmuxtree:cmd-pmuxtree' on page 624 undefined on i +nput line 47150. -LaTeX Warning: Reference `cmd/proc_memwr:cmd-proc_memwr' on page 622 undefined -on input line 47151. +LaTeX Warning: Reference `cmd/portarcs:cmd-portarcs' on page 624 undefined on i +nput line 47151. -LaTeX Warning: Reference `cmd/proc_mux:cmd-proc_mux' on page 622 undefined on i +LaTeX Warning: Reference `cmd/portlist:cmd-portlist' on page 624 undefined on i nput line 47152. -LaTeX Warning: Reference `cmd/proc_prune:cmd-proc_prune' on page 622 undefined -on input line 47153. +LaTeX Warning: Reference `cmd/prep:cmd-prep' on page 624 undefined on input lin +e 47153. -LaTeX Warning: Reference `cmd/proc_rmdead:cmd-proc_rmdead' on page 622 undefine -d on input line 47154. +LaTeX Warning: Reference `cmd/printattrs:cmd-printattrs' on page 624 undefined +on input line 47154. -LaTeX Warning: Reference `cmd/proc_rom:cmd-proc_rom' on page 622 undefined on i -nput line 47155. +LaTeX Warning: Reference `cmd/proc:cmd-proc' on page 624 undefined on input lin +e 47155. -LaTeX Warning: Reference `cmd/qbfsat:cmd-qbfsat' on page 622 undefined on input - line 47156. +LaTeX Warning: Reference `cmd/proc_arst:cmd-proc_arst' on page 624 undefined on + input line 47156. -LaTeX Warning: Reference `cmd/ql_bram_merge:cmd-ql_bram_merge' on page 622 unde -fined on input line 47157. +LaTeX Warning: Reference `cmd/proc_clean:cmd-proc_clean' on page 624 undefined +on input line 47157. -LaTeX Warning: Reference `cmd/ql_bram_types:cmd-ql_bram_types' on page 622 unde -fined on input line 47158. +LaTeX Warning: Reference `cmd/proc_dff:cmd-proc_dff' on page 624 undefined on i +nput line 47158. -LaTeX Warning: Reference `cmd/ql_dsp_io_regs:cmd-ql_dsp_io_regs' on page 622 un -defined on input line 47159. +LaTeX Warning: Reference `cmd/proc_dlatch:cmd-proc_dlatch' on page 624 undefine +d on input line 47159. -LaTeX Warning: Reference `cmd/ql_dsp_macc:cmd-ql_dsp_macc' on page 622 undefine -d on input line 47160. +LaTeX Warning: Reference `cmd/proc_init:cmd-proc_init' on page 624 undefined on + input line 47160. -LaTeX Warning: Reference `cmd/ql_dsp_simd:cmd-ql_dsp_simd' on page 622 undefine -d on input line 47161. +LaTeX Warning: Reference `cmd/proc_memwr:cmd-proc_memwr' on page 624 undefined +on input line 47161. -LaTeX Warning: Reference `cmd/ql_ioff:cmd-ql_ioff' on page 622 undefined on inp -ut line 47162. +LaTeX Warning: Reference `cmd/proc_mux:cmd-proc_mux' on page 624 undefined on i +nput line 47162. -LaTeX Warning: Reference `cmd/read:cmd-read' on page 622 undefined on input lin -e 47163. +LaTeX Warning: Reference `cmd/proc_prune:cmd-proc_prune' on page 624 undefined +on input line 47163. -LaTeX Warning: Reference `cmd/read_aiger:cmd-read_aiger' on page 622 undefined -on input line 47164. +LaTeX Warning: Reference `cmd/proc_rmdead:cmd-proc_rmdead' on page 624 undefine +d on input line 47164. -LaTeX Warning: Reference `cmd/read_blif:cmd-read_blif' on page 622 undefined on - input line 47165. +LaTeX Warning: Reference `cmd/proc_rom:cmd-proc_rom' on page 624 undefined on i +nput line 47165. -LaTeX Warning: Reference `cmd/read_json:cmd-read_json' on page 622 undefined on - input line 47166. +LaTeX Warning: Reference `cmd/qbfsat:cmd-qbfsat' on page 624 undefined on input + line 47166. -LaTeX Warning: Reference `cmd/read_liberty:cmd-read_liberty' on page 622 undefi -ned on input line 47167. +LaTeX Warning: Reference `cmd/ql_bram_merge:cmd-ql_bram_merge' on page 624 unde +fined on input line 47167. -LaTeX Warning: Reference `cmd/read_rtlil:cmd-read_rtlil' on page 622 undefined -on input line 47168. +LaTeX Warning: Reference `cmd/ql_bram_types:cmd-ql_bram_types' on page 624 unde +fined on input line 47168. -LaTeX Warning: Reference `cmd/read_verilog:cmd-read_verilog' on page 622 undefi -ned on input line 47169. +LaTeX Warning: Reference `cmd/ql_dsp_io_regs:cmd-ql_dsp_io_regs' on page 624 un +defined on input line 47169. -LaTeX Warning: Reference `cmd/read_xaiger2:cmd-read_xaiger2' on page 622 undefi -ned on input line 47170. +LaTeX Warning: Reference `cmd/ql_dsp_macc:cmd-ql_dsp_macc' on page 624 undefine +d on input line 47170. -LaTeX Warning: Reference `cmd/recover_names:cmd-recover_names' on page 622 unde -fined on input line 47171. +LaTeX Warning: Reference `cmd/ql_dsp_simd:cmd-ql_dsp_simd' on page 624 undefine +d on input line 47171. -LaTeX Warning: Reference `cmd/rename:cmd-rename' on page 622 undefined on input - line 47172. +LaTeX Warning: Reference `cmd/ql_ioff:cmd-ql_ioff' on page 624 undefined on inp +ut line 47172. -LaTeX Warning: Reference `cmd/rmports:cmd-rmports' on page 622 undefined on inp -ut line 47173. +LaTeX Warning: Reference `cmd/read:cmd-read' on page 624 undefined on input lin +e 47173. -[622] +LaTeX Warning: Reference `cmd/read_aiger:cmd-read_aiger' on page 624 undefined +on input line 47174. + + +LaTeX Warning: Reference `cmd/read_blif:cmd-read_blif' on page 624 undefined on + input line 47175. + + +LaTeX Warning: Reference `cmd/read_json:cmd-read_json' on page 624 undefined on + input line 47176. + + +LaTeX Warning: Reference `cmd/read_liberty:cmd-read_liberty' on page 624 undefi +ned on input line 47177. + + +LaTeX Warning: Reference `cmd/read_rtlil:cmd-read_rtlil' on page 624 undefined +on input line 47178. + -LaTeX Warning: Reference `cmd/sat:cmd-sat' on page 623 undefined on input line -47174. +LaTeX Warning: Reference `cmd/read_verilog:cmd-read_verilog' on page 624 undefi +ned on input line 47179. -LaTeX Warning: Reference `cmd/scatter:cmd-scatter' on page 623 undefined on inp -ut line 47175. +LaTeX Warning: Reference `cmd/read_xaiger2:cmd-read_xaiger2' on page 624 undefi +ned on input line 47180. -LaTeX Warning: Reference `cmd/scc:cmd-scc' on page 623 undefined on input line -47176. +LaTeX Warning: Reference `cmd/recover_names:cmd-recover_names' on page 624 unde +fined on input line 47181. -LaTeX Warning: Reference `cmd/scratchpad:cmd-scratchpad' on page 623 undefined -on input line 47177. +LaTeX Warning: Reference `cmd/rename:cmd-rename' on page 624 undefined on input + line 47182. -LaTeX Warning: Reference `cmd/script:cmd-script' on page 623 undefined on input - line 47178. +LaTeX Warning: Reference `cmd/rmports:cmd-rmports' on page 624 undefined on inp +ut line 47183. -LaTeX Warning: Reference `cmd/select:cmd-select' on page 623 undefined on input - line 47179. +[624] +LaTeX Warning: Reference `cmd/sat:cmd-sat' on page 625 undefined on input line +47184. -LaTeX Warning: Reference `cmd/setattr:cmd-setattr' on page 623 undefined on inp -ut line 47180. +LaTeX Warning: Reference `cmd/scatter:cmd-scatter' on page 625 undefined on inp +ut line 47185. -LaTeX Warning: Reference `cmd/setenv:cmd-setenv' on page 623 undefined on input - line 47181. +LaTeX Warning: Reference `cmd/scc:cmd-scc' on page 625 undefined on input line +47186. -LaTeX Warning: Reference `cmd/setparam:cmd-setparam' on page 623 undefined on i -nput line 47182. +LaTeX Warning: Reference `cmd/scratchpad:cmd-scratchpad' on page 625 undefined +on input line 47187. -LaTeX Warning: Reference `cmd/setundef:cmd-setundef' on page 623 undefined on i -nput line 47183. +LaTeX Warning: Reference `cmd/script:cmd-script' on page 625 undefined on input + line 47188. -LaTeX Warning: Reference `cmd/share:cmd-share' on page 623 undefined on input l -ine 47184. +LaTeX Warning: Reference `cmd/select:cmd-select' on page 625 undefined on input + line 47189. -LaTeX Warning: Reference `cmd/shell:cmd-shell' on page 623 undefined on input l -ine 47185. +LaTeX Warning: Reference `cmd/setattr:cmd-setattr' on page 625 undefined on inp +ut line 47190. -LaTeX Warning: Reference `cmd/show:cmd-show' on page 623 undefined on input lin -e 47186. +LaTeX Warning: Reference `cmd/setenv:cmd-setenv' on page 625 undefined on input + line 47191. -LaTeX Warning: Reference `cmd/shregmap:cmd-shregmap' on page 623 undefined on i -nput line 47187. +LaTeX Warning: Reference `cmd/setparam:cmd-setparam' on page 625 undefined on i +nput line 47192. -LaTeX Warning: Reference `cmd/sim:cmd-sim' on page 623 undefined on input line -47188. +LaTeX Warning: Reference `cmd/setundef:cmd-setundef' on page 625 undefined on i +nput line 47193. -LaTeX Warning: Reference `cmd/simplemap:cmd-simplemap' on page 623 undefined on - input line 47189. +LaTeX Warning: Reference `cmd/share:cmd-share' on page 625 undefined on input l +ine 47194. -LaTeX Warning: Reference `cmd/splice:cmd-splice' on page 623 undefined on input - line 47190. +LaTeX Warning: Reference `cmd/shell:cmd-shell' on page 625 undefined on input l +ine 47195. -LaTeX Warning: Reference `cmd/splitcells:cmd-splitcells' on page 623 undefined -on input line 47191. +LaTeX Warning: Reference `cmd/show:cmd-show' on page 625 undefined on input lin +e 47196. -LaTeX Warning: Reference `cmd/splitnets:cmd-splitnets' on page 623 undefined on - input line 47192. +LaTeX Warning: Reference `cmd/shregmap:cmd-shregmap' on page 625 undefined on i +nput line 47197. -LaTeX Warning: Reference `cmd/sta:cmd-sta' on page 623 undefined on input line -47193. +LaTeX Warning: Reference `cmd/sim:cmd-sim' on page 625 undefined on input line +47198. -LaTeX Warning: Reference `cmd/stat:cmd-stat' on page 623 undefined on input lin -e 47194. +LaTeX Warning: Reference `cmd/simplemap:cmd-simplemap' on page 625 undefined on + input line 47199. -LaTeX Warning: Reference `cmd/submod:cmd-submod' on page 623 undefined on input - line 47195. +LaTeX Warning: Reference `cmd/splice:cmd-splice' on page 625 undefined on input + line 47200. -LaTeX Warning: Reference `cmd/supercover:cmd-supercover' on page 623 undefined -on input line 47196. +LaTeX Warning: Reference `cmd/splitcells:cmd-splitcells' on page 625 undefined +on input line 47201. -LaTeX Warning: Reference `cmd/synth:cmd-synth' on page 623 undefined on input l -ine 47197. +LaTeX Warning: Reference `cmd/splitnets:cmd-splitnets' on page 625 undefined on + input line 47202. -LaTeX Warning: Reference `cmd/synth_achronix:cmd-synth_achronix' on page 623 un -defined on input line 47198. +LaTeX Warning: Reference `cmd/sta:cmd-sta' on page 625 undefined on input line +47203. -LaTeX Warning: Reference `cmd/synth_anlogic:cmd-synth_anlogic' on page 623 unde -fined on input line 47199. + +LaTeX Warning: Reference `cmd/stat:cmd-stat' on page 625 undefined on input lin +e 47204. + + +LaTeX Warning: Reference `cmd/submod:cmd-submod' on page 625 undefined on input + line 47205. + + +LaTeX Warning: Reference `cmd/supercover:cmd-supercover' on page 625 undefined +on input line 47206. + + +LaTeX Warning: Reference `cmd/synth:cmd-synth' on page 625 undefined on input l +ine 47207. + + +LaTeX Warning: Reference `cmd/synth_achronix:cmd-synth_achronix' on page 625 un +defined on input line 47208. + + +LaTeX Warning: Reference `cmd/synth_anlogic:cmd-synth_anlogic' on page 625 unde +fined on input line 47209. LaTeX Warning: Reference `cmd/synth_coolrunner2:cmd-synth_coolrunner2' on page -623 undefined on input line 47200. +625 undefined on input line 47210. -LaTeX Warning: Reference `cmd/synth_easic:cmd-synth_easic' on page 623 undefine -d on input line 47201. +LaTeX Warning: Reference `cmd/synth_easic:cmd-synth_easic' on page 625 undefine +d on input line 47211. -LaTeX Warning: Reference `cmd/synth_ecp5:cmd-synth_ecp5' on page 623 undefined -on input line 47202. +LaTeX Warning: Reference `cmd/synth_ecp5:cmd-synth_ecp5' on page 625 undefined +on input line 47212. -LaTeX Warning: Reference `cmd/synth_efinix:cmd-synth_efinix' on page 623 undefi -ned on input line 47203. +LaTeX Warning: Reference `cmd/synth_efinix:cmd-synth_efinix' on page 625 undefi +ned on input line 47213. -LaTeX Warning: Reference `cmd/synth_fabulous:cmd-synth_fabulous' on page 623 un -defined on input line 47204. +LaTeX Warning: Reference `cmd/synth_fabulous:cmd-synth_fabulous' on page 625 un +defined on input line 47214. -LaTeX Warning: Reference `cmd/synth_gatemate:cmd-synth_gatemate' on page 623 un -defined on input line 47205. +LaTeX Warning: Reference `cmd/synth_gatemate:cmd-synth_gatemate' on page 625 un +defined on input line 47215. -LaTeX Warning: Reference `cmd/synth_gowin:cmd-synth_gowin' on page 623 undefine -d on input line 47206. +LaTeX Warning: Reference `cmd/synth_gowin:cmd-synth_gowin' on page 625 undefine +d on input line 47216. -LaTeX Warning: Reference `cmd/synth_greenpak4:cmd-synth_greenpak4' on page 623 -undefined on input line 47207. +LaTeX Warning: Reference `cmd/synth_greenpak4:cmd-synth_greenpak4' on page 625 +undefined on input line 47217. -LaTeX Warning: Reference `cmd/synth_ice40:cmd-synth_ice40' on page 623 undefine -d on input line 47208. +LaTeX Warning: Reference `cmd/synth_ice40:cmd-synth_ice40' on page 625 undefine +d on input line 47218. -LaTeX Warning: Reference `cmd/synth_intel:cmd-synth_intel' on page 623 undefine -d on input line 47209. +LaTeX Warning: Reference `cmd/synth_intel:cmd-synth_intel' on page 625 undefine +d on input line 47219. -LaTeX Warning: Reference `cmd/synth_intel_alm:cmd-synth_intel_alm' on page 623 -undefined on input line 47210. +LaTeX Warning: Reference `cmd/synth_intel_alm:cmd-synth_intel_alm' on page 625 +undefined on input line 47220. -LaTeX Warning: Reference `cmd/synth_lattice:cmd-synth_lattice' on page 623 unde -fined on input line 47211. +LaTeX Warning: Reference `cmd/synth_lattice:cmd-synth_lattice' on page 625 unde +fined on input line 47221. -LaTeX Warning: Reference `cmd/synth_microchip:cmd-synth_microchip' on page 623 -undefined on input line 47212. +LaTeX Warning: Reference `cmd/synth_microchip:cmd-synth_microchip' on page 625 +undefined on input line 47222. LaTeX Warning: Reference `cmd/synth_nanoxplore:cmd-synth_nanoxplore' on page 62 -3 undefined on input line 47213. +5 undefined on input line 47223. -LaTeX Warning: Reference `cmd/synth_nexus:cmd-synth_nexus' on page 623 undefine -d on input line 47214. +LaTeX Warning: Reference `cmd/synth_nexus:cmd-synth_nexus' on page 625 undefine +d on input line 47224. LaTeX Warning: Reference `cmd/synth_quicklogic:cmd-synth_quicklogic' on page 62 -3 undefined on input line 47215. +5 undefined on input line 47225. -LaTeX Warning: Reference `cmd/synth_sf2:cmd-synth_sf2' on page 623 undefined on - input line 47216. +LaTeX Warning: Reference `cmd/synth_sf2:cmd-synth_sf2' on page 625 undefined on + input line 47226. -LaTeX Warning: Reference `cmd/synth_xilinx:cmd-synth_xilinx' on page 623 undefi -ned on input line 47217. +LaTeX Warning: Reference `cmd/synth_xilinx:cmd-synth_xilinx' on page 625 undefi +ned on input line 47227. -LaTeX Warning: Reference `cmd/synthprop:cmd-synthprop' on page 623 undefined on - input line 47218. +LaTeX Warning: Reference `cmd/synthprop:cmd-synthprop' on page 625 undefined on + input line 47228. -LaTeX Warning: Reference `cmd/tcl:cmd-tcl' on page 623 undefined on input line -47219. +LaTeX Warning: Reference `cmd/tcl:cmd-tcl' on page 625 undefined on input line +47229. -LaTeX Warning: Reference `cmd/techmap:cmd-techmap' on page 623 undefined on inp -ut line 47220. +LaTeX Warning: Reference `cmd/techmap:cmd-techmap' on page 625 undefined on inp +ut line 47230. -LaTeX Warning: Reference `cmd/tee:cmd-tee' on page 623 undefined on input line -47221. +LaTeX Warning: Reference `cmd/tee:cmd-tee' on page 625 undefined on input line +47231. -LaTeX Warning: Reference `cmd/test_abcloop:cmd-test_abcloop' on page 623 undefi -ned on input line 47222. +LaTeX Warning: Reference `cmd/test_abcloop:cmd-test_abcloop' on page 625 undefi +ned on input line 47232. -LaTeX Warning: Reference `cmd/test_autotb:cmd-test_autotb' on page 623 undefine -d on input line 47223. +LaTeX Warning: Reference `cmd/test_autotb:cmd-test_autotb' on page 625 undefine +d on input line 47233. -LaTeX Warning: Reference `cmd/test_cell:cmd-test_cell' on page 623 undefined on - input line 47224. +LaTeX Warning: Reference `cmd/test_cell:cmd-test_cell' on page 625 undefined on + input line 47234. -LaTeX Warning: Reference `cmd/test_generic:cmd-test_generic' on page 623 undefi -ned on input line 47225. +LaTeX Warning: Reference `cmd/test_generic:cmd-test_generic' on page 625 undefi +ned on input line 47235. -LaTeX Warning: Reference `cmd/test_pmgen:cmd-test_pmgen' on page 623 undefined -on input line 47226. +LaTeX Warning: Reference `cmd/test_pmgen:cmd-test_pmgen' on page 625 undefined +on input line 47236. -LaTeX Warning: Reference `cmd/torder:cmd-torder' on page 623 undefined on input - line 47227. +LaTeX Warning: Reference `cmd/torder:cmd-torder' on page 625 undefined on input + line 47237. -LaTeX Warning: Reference `cmd/trace:cmd-trace' on page 623 undefined on input l -ine 47228. +LaTeX Warning: Reference `cmd/trace:cmd-trace' on page 625 undefined on input l +ine 47238. -LaTeX Warning: Reference `cmd/tribuf:cmd-tribuf' on page 623 undefined on input - line 47229. +LaTeX Warning: Reference `cmd/tribuf:cmd-tribuf' on page 625 undefined on input + line 47239. -LaTeX Warning: Reference `cmd/uniquify:cmd-uniquify' on page 623 undefined on i -nput line 47230. +LaTeX Warning: Reference `cmd/uniquify:cmd-uniquify' on page 625 undefined on i +nput line 47240. -LaTeX Warning: Reference `cmd/verific:cmd-verific' on page 623 undefined on inp -ut line 47231. +LaTeX Warning: Reference `cmd/verific:cmd-verific' on page 625 undefined on inp +ut line 47241. LaTeX Warning: Reference `cmd/verilog_defaults:cmd-verilog_defaults' on page 62 -3 undefined on input line 47232. +5 undefined on input line 47242. -LaTeX Warning: Reference `cmd/verilog_defines:cmd-verilog_defines' on page 623 -undefined on input line 47233. +LaTeX Warning: Reference `cmd/verilog_defines:cmd-verilog_defines' on page 625 +undefined on input line 47243. -LaTeX Warning: Reference `cmd/viz:cmd-viz' on page 623 undefined on input line -47234. +LaTeX Warning: Reference `cmd/viz:cmd-viz' on page 625 undefined on input line +47244. -LaTeX Warning: Reference `cmd/wbflip:cmd-wbflip' on page 623 undefined on input - line 47235. +LaTeX Warning: Reference `cmd/wbflip:cmd-wbflip' on page 625 undefined on input + line 47245. -LaTeX Warning: Reference `cmd/wrapcell:cmd-wrapcell' on page 623 undefined on i -nput line 47236. +LaTeX Warning: Reference `cmd/wrapcell:cmd-wrapcell' on page 625 undefined on i +nput line 47246. -LaTeX Warning: Reference `cmd/wreduce:cmd-wreduce' on page 623 undefined on inp -ut line 47237. +LaTeX Warning: Reference `cmd/wreduce:cmd-wreduce' on page 625 undefined on inp +ut line 47247. -LaTeX Warning: Reference `cmd/write_aiger:cmd-write_aiger' on page 623 undefine -d on input line 47238. +LaTeX Warning: Reference `cmd/write_aiger:cmd-write_aiger' on page 625 undefine +d on input line 47248. -LaTeX Warning: Reference `cmd/write_aiger2:cmd-write_aiger2' on page 623 undefi -ned on input line 47239. +LaTeX Warning: Reference `cmd/write_aiger2:cmd-write_aiger2' on page 625 undefi +ned on input line 47249. -LaTeX Warning: Reference `cmd/write_blif:cmd-write_blif' on page 623 undefined -on input line 47240. +LaTeX Warning: Reference `cmd/write_blif:cmd-write_blif' on page 625 undefined +on input line 47250. -LaTeX Warning: Reference `cmd/write_btor:cmd-write_btor' on page 623 undefined -on input line 47241. +LaTeX Warning: Reference `cmd/write_btor:cmd-write_btor' on page 625 undefined +on input line 47251. -LaTeX Warning: Reference `cmd/write_cxxrtl:cmd-write_cxxrtl' on page 623 undefi -ned on input line 47242. +LaTeX Warning: Reference `cmd/write_cxxrtl:cmd-write_cxxrtl' on page 625 undefi +ned on input line 47252. -LaTeX Warning: Reference `cmd/write_edif:cmd-write_edif' on page 623 undefined -on input line 47243. +LaTeX Warning: Reference `cmd/write_edif:cmd-write_edif' on page 625 undefined +on input line 47253. -LaTeX Warning: Reference `cmd/write_file:cmd-write_file' on page 623 undefined -on input line 47244. +LaTeX Warning: Reference `cmd/write_file:cmd-write_file' on page 625 undefined +on input line 47254. -LaTeX Warning: Reference `cmd/write_firrtl:cmd-write_firrtl' on page 623 undefi -ned on input line 47245. +LaTeX Warning: Reference `cmd/write_firrtl:cmd-write_firrtl' on page 625 undefi +ned on input line 47255. LaTeX Warning: Reference `cmd/write_functional_cxx:cmd-write_functional_cxx' on - page 623 undefined on input line 47246. + page 625 undefined on input line 47256. -Underfull \hbox (badness 10000) in paragraph at lines 47246--47247 +Underfull \hbox (badness 10000) in paragraph at lines 47256--47257 []\T1/lmtt/m/n/10 write_functional_cxx LaTeX Warning: Reference `cmd/write_functional_rosette:cmd-write_functional_ros -ette' on page 623 undefined on input line 47247. +ette' on page 625 undefined on input line 47257. -Underfull \hbox (badness 10000) in paragraph at lines 47247--47248 +Underfull \hbox (badness 10000) in paragraph at lines 47257--47258 []\T1/lmtt/m/n/10 write_functional_rosette LaTeX Warning: Reference `cmd/write_functional_smt2:cmd-write_functional_smt2' -on page 623 undefined on input line 47248. +on page 625 undefined on input line 47258. -Underfull \hbox (badness 10000) in paragraph at lines 47248--47249 +Underfull \hbox (badness 10000) in paragraph at lines 47258--47259 []\T1/lmtt/m/n/10 write_functional_smt2 LaTeX Warning: Reference `cmd/write_intersynth:cmd-write_intersynth' on page 62 -3 undefined on input line 47249. +5 undefined on input line 47259. -LaTeX Warning: Reference `cmd/write_jny:cmd-write_jny' on page 623 undefined on - input line 47250. +LaTeX Warning: Reference `cmd/write_jny:cmd-write_jny' on page 625 undefined on + input line 47260. -LaTeX Warning: Reference `cmd/write_json:cmd-write_json' on page 623 undefined -on input line 47251. +LaTeX Warning: Reference `cmd/write_json:cmd-write_json' on page 625 undefined +on input line 47261. -LaTeX Warning: Reference `cmd/write_rtlil:cmd-write_rtlil' on page 623 undefine -d on input line 47252. +LaTeX Warning: Reference `cmd/write_rtlil:cmd-write_rtlil' on page 625 undefine +d on input line 47262. -LaTeX Warning: Reference `cmd/write_simplec:cmd-write_simplec' on page 623 unde -fined on input line 47253. +LaTeX Warning: Reference `cmd/write_simplec:cmd-write_simplec' on page 625 unde +fined on input line 47263. -LaTeX Warning: Reference `cmd/write_smt2:cmd-write_smt2' on page 623 undefined -on input line 47254. +LaTeX Warning: Reference `cmd/write_smt2:cmd-write_smt2' on page 625 undefined +on input line 47264. -LaTeX Warning: Reference `cmd/write_smv:cmd-write_smv' on page 623 undefined on - input line 47255. +LaTeX Warning: Reference `cmd/write_smv:cmd-write_smv' on page 625 undefined on + input line 47265. -LaTeX Warning: Reference `cmd/write_spice:cmd-write_spice' on page 623 undefine -d on input line 47256. +LaTeX Warning: Reference `cmd/write_spice:cmd-write_spice' on page 625 undefine +d on input line 47266. -LaTeX Warning: Reference `cmd/write_table:cmd-write_table' on page 623 undefine -d on input line 47257. +LaTeX Warning: Reference `cmd/write_table:cmd-write_table' on page 625 undefine +d on input line 47267. -LaTeX Warning: Reference `cmd/write_verilog:cmd-write_verilog' on page 623 unde -fined on input line 47258. +LaTeX Warning: Reference `cmd/write_verilog:cmd-write_verilog' on page 625 unde +fined on input line 47268. -LaTeX Warning: Reference `cmd/write_xaiger:cmd-write_xaiger' on page 623 undefi -ned on input line 47259. +LaTeX Warning: Reference `cmd/write_xaiger:cmd-write_xaiger' on page 625 undefi +ned on input line 47269. -LaTeX Warning: Reference `cmd/write_xaiger2:cmd-write_xaiger2' on page 623 unde -fined on input line 47260. +LaTeX Warning: Reference `cmd/write_xaiger2:cmd-write_xaiger2' on page 625 unde +fined on input line 47270. -LaTeX Warning: Reference `cmd/xilinx_dffopt:cmd-xilinx_dffopt' on page 623 unde -fined on input line 47261. +LaTeX Warning: Reference `cmd/xilinx_dffopt:cmd-xilinx_dffopt' on page 625 unde +fined on input line 47271. -LaTeX Warning: Reference `cmd/xilinx_dsp:cmd-xilinx_dsp' on page 623 undefined -on input line 47262. +LaTeX Warning: Reference `cmd/xilinx_dsp:cmd-xilinx_dsp' on page 625 undefined +on input line 47272. -LaTeX Warning: Reference `cmd/xilinx_srl:cmd-xilinx_srl' on page 623 undefined -on input line 47263. +LaTeX Warning: Reference `cmd/xilinx_srl:cmd-xilinx_srl' on page 625 undefined +on input line 47273. -LaTeX Warning: Reference `cmd/xprop:cmd-xprop' on page 623 undefined on input l -ine 47264. +LaTeX Warning: Reference `cmd/xprop:cmd-xprop' on page 625 undefined on input l +ine 47274. -LaTeX Warning: Reference `cmd/zinit:cmd-zinit' on page 623 undefined on input l -ine 47265. +LaTeX Warning: Reference `cmd/zinit:cmd-zinit' on page 625 undefined on input l +ine 47275. -[623] +[625] No file yosyshqyosys.ind. Package longtable Warning: Table widths have changed. Rerun LaTeX. @@ -54016,7 +54052,7 @@ .pfb> -Output written on yosyshqyosys.pdf (627 pages, 2886224 bytes). +Output written on yosyshqyosys.pdf (629 pages, 2886891 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' @@ -55371,10 +55407,10 @@ [173] [174] [175] -Underfull \vbox (badness 5331) detected at line 11741 +Underfull \vbox (badness 5331) detected at line 11746 [176] -Underfull \hbox (badness 10000) in paragraph at lines 11743--11752 +Underfull \hbox (badness 10000) in paragraph at lines 11748--11757 []\T1/lmr/m/n/10 Be-cause we are us-ing the \T1/lmtt/m/n/10 Backend \T1/lmr/m/n /10 class, our \T1/lmtt/m/n/10 "functional_dummy" \T1/lmr/m/n/10 is reg-is-tere d as the @@ -55382,23 +55418,23 @@ [177] [178] [179] -Underfull \vbox (badness 1072) detected at line 12030 +Underfull \vbox (badness 1072) detected at line 12035 [180] [181] [182] [183] -Underfull \hbox (badness 10000) in paragraph at lines 12201--12201 +Underfull \hbox (badness 10000) in paragraph at lines 12206--12206 []\T1/lmr/m/n/10 Listing 4.22: |[]iterating over Func-tion-alIR nodes in [184] -Underfull \hbox (badness 10000) in paragraph at lines 12226--12226 +Underfull \hbox (badness 10000) in paragraph at lines 12231--12231 []\T1/lmr/m/n/10 Listing 4.24: |[]diff of out-put/next state han-dling [185] [186] [187] -Underfull \vbox (badness 4001) detected at line 12501 +Underfull \vbox (badness 4001) detected at line 12506 [188] [189 <./red_or3x1.pdf>] @@ -55406,15 +55442,15 @@ [191 <./mymul.pdf>] [192] [193 <./mulshift.pdf>] -Underfull \hbox (badness 5490) in paragraph at lines 12814--12817 +Underfull \hbox (badness 5490) in paragraph at lines 12819--12822 []\T1/lmr/m/n/10 Each bit of the port cor-re-lates to an \T1/lmtt/m/n/10 _TECHM AP_BITS_CONNMAP_ \T1/lmr/m/n/10 bits wide num-ber in -Underfull \hbox (badness 10000) in paragraph at lines 12819--12822 +Underfull \hbox (badness 10000) in paragraph at lines 12824--12827 []\T1/lmr/m/n/10 Each unique sig-nal bit is as-signed its own num-ber. Iden-ti- cal fields in the -Overfull \vbox (0.52754pt too high) detected at line 12874 +Overfull \vbox (0.52754pt too high) detected at line 12879 [194] [195 <./addshift.pdf>] @@ -55455,30 +55491,28 @@ [224] Chapter 8. -Overfull \vbox (1.34746pt too high) detected at line 15204 +Overfull \vbox (1.34746pt too high) detected at line 15214 [225] [226] -Underfull \vbox (badness 10000) detected at line 15439 - -Overfull \vbox (0.56999pt too high) detected at line 15439 +Underfull \vbox (badness 4954) detected at line 15449 [227] -Underfull \vbox (badness 10000) detected at line 15439 +Underfull \vbox (badness 10000) detected at line 15449 -Overfull \vbox (0.56999pt too high) detected at line 15439 +Overfull \vbox (0.56999pt too high) detected at line 15449 [228] -Underfull \vbox (badness 10000) detected at line 15439 +Underfull \vbox (badness 10000) detected at line 15449 -Overfull \vbox (0.56999pt too high) detected at line 15439 +Overfull \vbox (0.56999pt too high) detected at line 15449 [229] [230] -Chapter 9. - [231] [232] +Chapter 9. + [233] [234] [235] @@ -55501,10 +55535,10 @@ [252] [253] [254] -Overfull \vbox (2.36986pt too high) detected at line 18188 - [255] [256] +Overfull \vbox (2.36986pt too high) detected at line 18198 + [257] [258] [259] @@ -55523,63 +55557,63 @@ [272] [273] [274] -Underfull \vbox (badness 10000) detected at line 20015 - -Overfull \vbox (2.76991pt too high) detected at line 20015 - [275] [276] -Underfull \vbox (badness 10000) detected at line 20154 +Underfull \vbox (badness 10000) detected at line 20025 -Overfull \vbox (2.76991pt too high) detected at line 20154 +Overfull \vbox (2.76991pt too high) detected at line 20025 [277] -Underfull \vbox (badness 10000) detected at line 20154 +[278] +Underfull \vbox (badness 10000) detected at line 20164 -Overfull \vbox (0.56999pt too high) detected at line 20154 +Overfull \vbox (2.76991pt too high) detected at line 20164 -[278] [279] +Underfull \vbox (badness 10000) detected at line 20164 + +Overfull \vbox (0.56999pt too high) detected at line 20164 + [280] [281] [282] [283] -Underfull \vbox (badness 10000) detected at line 20569 - -Overfull \vbox (0.56999pt too high) detected at line 20569 - [284] [285] +Underfull \vbox (badness 10000) detected at line 20579 + +Overfull \vbox (0.56999pt too high) detected at line 20579 + [286] [287] [288] -Underfull \vbox (badness 10000) detected at line 21004 - -Overfull \vbox (0.56999pt too high) detected at line 21004 - [289] -Underfull \vbox (badness 10000) detected at line 21004 +[290] +Underfull \vbox (badness 10000) detected at line 21014 -Overfull \vbox (0.56999pt too high) detected at line 21004 +Overfull \vbox (0.56999pt too high) detected at line 21014 -[290] [291] +Underfull \vbox (badness 10000) detected at line 21014 + +Overfull \vbox (0.56999pt too high) detected at line 21014 + [292] [293] -Underfull \vbox (badness 10000) detected at line 21380 - [294] -Underfull \vbox (badness 10000) detected at line 21380 - -Overfull \vbox (0.56999pt too high) detected at line 21380 - [295] -Underfull \vbox (badness 10000) detected at line 21380 - -Overfull \vbox (0.56999pt too high) detected at line 21380 +Underfull \vbox (badness 10000) detected at line 21390 [296] +Underfull \vbox (badness 10000) detected at line 21390 + +Overfull \vbox (0.56999pt too high) detected at line 21390 + [297] +Underfull \vbox (badness 10000) detected at line 21390 + +Overfull \vbox (0.56999pt too high) detected at line 21390 + [298] [299] [300] @@ -55669,87 +55703,87 @@ [384] [385] [386] -Chapter 10. - [387] [388] -[389] -Underfull \vbox (badness 10000) detected at line 31875 - -Overfull \vbox (0.56999pt too high) detected at line 31875 +Chapter 10. +[389] [390] -Underfull \vbox (badness 10000) detected at line 31875 - -Overfull \vbox (0.56999pt too high) detected at line 31875 - [391] -Overfull \vbox (2.84741pt too high) detected at line 32033 +Underfull \vbox (badness 10000) detected at line 31885 + +Overfull \vbox (0.56999pt too high) detected at line 31885 [392] -Underfull \vbox (badness 10000) detected at line 32033 +Underfull \vbox (badness 10000) detected at line 31885 -Overfull \vbox (0.56999pt too high) detected at line 32033 +Overfull \vbox (0.56999pt too high) detected at line 31885 [393] -Underfull \vbox (badness 10000) detected at line 32033 - -Overfull \vbox (0.56999pt too high) detected at line 32033 +Overfull \vbox (2.84741pt too high) detected at line 32043 [394] +Underfull \vbox (badness 10000) detected at line 32043 + +Overfull \vbox (0.56999pt too high) detected at line 32043 + [395] -Underfull \vbox (badness 10000) detected at line 32139 +Underfull \vbox (badness 10000) detected at line 32043 -Underfull \vbox (badness 10000) detected at line 32139 +Overfull \vbox (0.56999pt too high) detected at line 32043 [396] -Overfull \vbox (2.84741pt too high) detected at line 32237 - [397] -Underfull \vbox (badness 10000) detected at line 32237 +Underfull \vbox (badness 10000) detected at line 32149 -Overfull \vbox (0.56999pt too high) detected at line 32237 +Underfull \vbox (badness 10000) detected at line 32149 [398] +Overfull \vbox (2.84741pt too high) detected at line 32247 + [399] -[400] -Overfull \vbox (2.84741pt too high) detected at line 32421 +Underfull \vbox (badness 10000) detected at line 32247 +Overfull \vbox (0.56999pt too high) detected at line 32247 + +[400] [401] [402] -[403] -Overfull \vbox (2.84741pt too high) detected at line 32662 +Overfull \vbox (2.84741pt too high) detected at line 32431 +[403] [404] [405] +Overfull \vbox (2.84741pt too high) detected at line 32672 + [406] [407] [408] [409] -Overfull \vbox (1.94772pt too high) detected at line 33106 - [410] -Overfull \vbox (2.84741pt too high) detected at line 33168 - [411] +Overfull \vbox (1.94772pt too high) detected at line 33116 + [412] +Overfull \vbox (2.84741pt too high) detected at line 33178 + [413] [414] -Overfull \vbox (1.94772pt too high) detected at line 33501 - [415] [416] +Overfull \vbox (1.94772pt too high) detected at line 33511 + [417] [418] [419] [420] -Overfull \vbox (1.94772pt too high) detected at line 33978 - [421] -Overfull \vbox (2.84741pt too high) detected at line 34021 - [422] +Overfull \vbox (1.94772pt too high) detected at line 33988 + [423] +Overfull \vbox (2.84741pt too high) detected at line 34031 + [424] [425] [426] @@ -55757,530 +55791,529 @@ [428] [429] [430] -Overfull \vbox (1.94772pt too high) detected at line 34727 - [431] -Overfull \vbox (2.84741pt too high) detected at line 34827 - [432] +Overfull \vbox (1.94772pt too high) detected at line 34737 + [433] +Overfull \vbox (2.84741pt too high) detected at line 34837 + [434] [435] -Overfull \vbox (2.84741pt too high) detected at line 35065 - [436] [437] -Overfull \vbox (1.94772pt too high) detected at line 35212 +Overfull \vbox (2.84741pt too high) detected at line 35075 [438] [439] -Overfull \vbox (1.94772pt too high) detected at line 35345 +Overfull \vbox (1.94772pt too high) detected at line 35222 [440] [441] +Overfull \vbox (1.94772pt too high) detected at line 35355 + [442] [443] [444] -Underfull \vbox (badness 10000) detected at line 35727 - -Overfull \vbox (0.56999pt too high) detected at line 35727 - [445] [446] -Underfull \vbox (badness 10000) detected at line 35874 +Underfull \vbox (badness 10000) detected at line 35737 -Overfull \vbox (0.56999pt too high) detected at line 35874 +Overfull \vbox (0.56999pt too high) detected at line 35737 [447] [448] +Underfull \vbox (badness 10000) detected at line 35884 + +Overfull \vbox (0.56999pt too high) detected at line 35884 + [449] [450] [451] [452] -Overfull \vbox (1.94772pt too high) detected at line 36370 - [453] -Overfull \vbox (2.84741pt too high) detected at line 36427 - [454] -[455] -[456] -Underfull \vbox (badness 10000) detected at line 36692 +Overfull \vbox (1.94772pt too high) detected at line 36380 -Overfull \vbox (0.56999pt too high) detected at line 36692 +[455] +Overfull \vbox (2.84741pt too high) detected at line 36437 +[456] [457] [458] +Underfull \vbox (badness 10000) detected at line 36702 + +Overfull \vbox (0.56999pt too high) detected at line 36702 + [459] [460] [461] [462] -Overfull \vbox (2.84741pt too high) detected at line 37161 - [463] -Overfull \vbox (2.84741pt too high) detected at line 37206 - [464] +Overfull \vbox (2.84741pt too high) detected at line 37171 + [465] +Overfull \vbox (2.84741pt too high) detected at line 37216 + [466] [467] -Overfull \vbox (2.84741pt too high) detected at line 37500 - [468] [469] +Overfull \vbox (2.84741pt too high) detected at line 37510 + [470] [471] [472] [473] [474] -Overfull \vbox (1.94772pt too high) detected at line 38102 - [475] [476] +Overfull \vbox (1.94772pt too high) detected at line 38112 + [477] [478] [479] -Underfull \vbox (badness 10000) detected at line 38500 - -Overfull \vbox (0.56999pt too high) detected at line 38500 - [480] [481] -[482] -Overfull \vbox (2.84741pt too high) detected at line 38732 +Underfull \vbox (badness 10000) detected at line 38510 +Overfull \vbox (0.56999pt too high) detected at line 38510 + +[482] [483] [484] -[485] -Underfull \vbox (badness 10000) detected at line 39053 - -Overfull \vbox (0.56999pt too high) detected at line 39053 +Overfull \vbox (2.84741pt too high) detected at line 38742 +[485] [486] -Underfull \vbox (badness 10000) detected at line 39053 - -Overfull \vbox (0.56999pt too high) detected at line 39053 - [487] -Underfull \vbox (badness 10000) detected at line 39053 +Underfull \vbox (badness 10000) detected at line 39063 -Overfull \vbox (0.56999pt too high) detected at line 39053 +Overfull \vbox (0.56999pt too high) detected at line 39063 [488] -[489] -[490] -Overfull \vbox (1.94772pt too high) detected at line 39386 +Underfull \vbox (badness 10000) detected at line 39063 -[491] -Underfull \vbox (badness 10000) detected at line 39386 +Overfull \vbox (0.56999pt too high) detected at line 39063 -Overfull \vbox (0.56999pt too high) detected at line 39386 +[489] +Underfull \vbox (badness 10000) detected at line 39063 -[492] -Underfull \vbox (badness 10000) detected at line 39386 +Overfull \vbox (0.56999pt too high) detected at line 39063 -Overfull \vbox (0.56999pt too high) detected at line 39386 +[490] +[491] +[492] +Overfull \vbox (1.94772pt too high) detected at line 39396 [493] +Underfull \vbox (badness 10000) detected at line 39396 + +Overfull \vbox (0.56999pt too high) detected at line 39396 + [494] -Overfull \vbox (2.84741pt too high) detected at line 39512 +Underfull \vbox (badness 10000) detected at line 39396 + +Overfull \vbox (0.56999pt too high) detected at line 39396 [495] [496] -Underfull \vbox (badness 10000) detected at line 39796 - -Overfull \vbox (0.56999pt too high) detected at line 39796 +Overfull \vbox (2.84741pt too high) detected at line 39522 [497] -Underfull \vbox (badness 10000) detected at line 39796 - -Overfull \vbox (0.56999pt too high) detected at line 39796 - [498] -Underfull \vbox (badness 10000) detected at line 39796 +Underfull \vbox (badness 10000) detected at line 39806 -Overfull \vbox (0.56999pt too high) detected at line 39796 +Overfull \vbox (0.56999pt too high) detected at line 39806 [499] -Underfull \vbox (badness 10000) detected at line 39796 +Underfull \vbox (badness 10000) detected at line 39806 -Overfull \vbox (0.56999pt too high) detected at line 39796 +Overfull \vbox (0.56999pt too high) detected at line 39806 [500] +Underfull \vbox (badness 10000) detected at line 39806 + +Overfull \vbox (0.56999pt too high) detected at line 39806 + [501] +Underfull \vbox (badness 10000) detected at line 39806 + +Overfull \vbox (0.56999pt too high) detected at line 39806 + [502] [503] [504] -Overfull \vbox (2.84741pt too high) detected at line 40183 - [505] -Overfull \vbox (2.84741pt too high) detected at line 40312 - [506] -Underfull \vbox (badness 10000) detected at line 40312 - -Overfull \vbox (0.56999pt too high) detected at line 40312 +Overfull \vbox (2.84741pt too high) detected at line 40193 [507] -Underfull \vbox (badness 10000) detected at line 40312 - -Overfull \vbox (0.56999pt too high) detected at line 40312 +Overfull \vbox (2.84741pt too high) detected at line 40322 [508] +Underfull \vbox (badness 10000) detected at line 40322 + +Overfull \vbox (0.56999pt too high) detected at line 40322 + [509] +Underfull \vbox (badness 10000) detected at line 40322 + +Overfull \vbox (0.56999pt too high) detected at line 40322 + [510] [511] [512] -Underfull \vbox (badness 10000) detected at line 40710 - -Overfull \vbox (0.56999pt too high) detected at line 40710 - [513] -Overfull \vbox (2.84741pt too high) detected at line 40795 - [514] -Underfull \vbox (badness 10000) detected at line 40795 +Underfull \vbox (badness 10000) detected at line 40720 -Overfull \vbox (0.56999pt too high) detected at line 40795 +Overfull \vbox (0.56999pt too high) detected at line 40720 [515] -Overfull \vbox (2.84741pt too high) detected at line 40904 +Overfull \vbox (2.84741pt too high) detected at line 40805 [516] +Underfull \vbox (badness 10000) detected at line 40805 + +Overfull \vbox (0.56999pt too high) detected at line 40805 + [517] -[518] -Overfull \vbox (2.84741pt too high) detected at line 41084 +Overfull \vbox (2.84741pt too high) detected at line 40914 +[518] [519] [520] -[521] -Underfull \vbox (badness 10000) detected at line 41262 - -Overfull \vbox (0.56999pt too high) detected at line 41262 +Overfull \vbox (2.84741pt too high) detected at line 41094 +[521] [522] -Underfull \vbox (badness 10000) detected at line 41262 - -Overfull \vbox (0.56999pt too high) detected at line 41262 - [523] -Overfull \vbox (2.84741pt too high) detected at line 41370 +Underfull \vbox (badness 10000) detected at line 41272 + +Overfull \vbox (0.56999pt too high) detected at line 41272 [524] -Underfull \vbox (badness 10000) detected at line 41370 +Underfull \vbox (badness 10000) detected at line 41272 -Overfull \vbox (0.56999pt too high) detected at line 41370 +Overfull \vbox (0.56999pt too high) detected at line 41272 [525] -Overfull \vbox (2.84741pt too high) detected at line 41548 +Overfull \vbox (2.84741pt too high) detected at line 41380 [526] -Underfull \vbox (badness 10000) detected at line 41548 +Underfull \vbox (badness 10000) detected at line 41380 -Overfull \vbox (0.56999pt too high) detected at line 41548 +Overfull \vbox (0.56999pt too high) detected at line 41380 [527] -Underfull \vbox (badness 10000) detected at line 41548 - -Overfull \vbox (0.56999pt too high) detected at line 41548 +Overfull \vbox (2.84741pt too high) detected at line 41558 [528] -Overfull \vbox (2.84741pt too high) detected at line 41711 +Underfull \vbox (badness 10000) detected at line 41558 + +Overfull \vbox (0.56999pt too high) detected at line 41558 [529] -Underfull \vbox (badness 10000) detected at line 41711 +Underfull \vbox (badness 10000) detected at line 41558 -Overfull \vbox (0.56999pt too high) detected at line 41711 +Overfull \vbox (0.56999pt too high) detected at line 41558 [530] -Underfull \vbox (badness 10000) detected at line 41711 - -Overfull \vbox (0.56999pt too high) detected at line 41711 +Overfull \vbox (2.84741pt too high) detected at line 41721 [531] -Overfull \vbox (2.84741pt too high) detected at line 41848 +Underfull \vbox (badness 10000) detected at line 41721 + +Overfull \vbox (0.56999pt too high) detected at line 41721 [532] -Underfull \vbox (badness 10000) detected at line 41848 +Underfull \vbox (badness 10000) detected at line 41721 -Overfull \vbox (0.56999pt too high) detected at line 41848 +Overfull \vbox (0.56999pt too high) detected at line 41721 [533] -Overfull \vbox (2.84741pt too high) detected at line 41948 +Overfull \vbox (2.84741pt too high) detected at line 41858 [534] -Underfull \vbox (badness 10000) detected at line 41948 +Underfull \vbox (badness 10000) detected at line 41858 -Overfull \vbox (0.56999pt too high) detected at line 41948 +Overfull \vbox (0.56999pt too high) detected at line 41858 [535] -Overfull \vbox (2.84741pt too high) detected at line 42144 +Overfull \vbox (2.84741pt too high) detected at line 41958 [536] -Underfull \vbox (badness 10000) detected at line 42144 +Underfull \vbox (badness 10000) detected at line 41958 -Overfull \vbox (0.56999pt too high) detected at line 42144 +Overfull \vbox (0.56999pt too high) detected at line 41958 [537] -Underfull \vbox (badness 10000) detected at line 42144 - -Overfull \vbox (0.56999pt too high) detected at line 42144 +Overfull \vbox (2.84741pt too high) detected at line 42154 [538] -Underfull \vbox (badness 10000) detected at line 42144 +Underfull \vbox (badness 10000) detected at line 42154 -Overfull \vbox (0.56999pt too high) detected at line 42144 +Overfull \vbox (0.56999pt too high) detected at line 42154 [539] -Overfull \vbox (2.84741pt too high) detected at line 42288 +Underfull \vbox (badness 10000) detected at line 42154 + +Overfull \vbox (0.56999pt too high) detected at line 42154 [540] -Underfull \vbox (badness 10000) detected at line 42288 +Underfull \vbox (badness 10000) detected at line 42154 -Overfull \vbox (0.56999pt too high) detected at line 42288 +Overfull \vbox (0.56999pt too high) detected at line 42154 [541] +Overfull \vbox (2.84741pt too high) detected at line 42298 + [542] -Underfull \vbox (badness 10000) detected at line 42417 +Underfull \vbox (badness 10000) detected at line 42298 -Overfull \vbox (0.56999pt too high) detected at line 42417 +Overfull \vbox (0.56999pt too high) detected at line 42298 [543] -Underfull \vbox (badness 10000) detected at line 42417 - -Overfull \vbox (0.56999pt too high) detected at line 42417 - [544] -Overfull \vbox (2.84741pt too high) detected at line 42599 +Underfull \vbox (badness 10000) detected at line 42427 + +Overfull \vbox (0.56999pt too high) detected at line 42427 [545] -Underfull \vbox (badness 10000) detected at line 42599 +Underfull \vbox (badness 10000) detected at line 42427 -Overfull \vbox (0.56999pt too high) detected at line 42599 +Overfull \vbox (0.56999pt too high) detected at line 42427 [546] -Underfull \vbox (badness 10000) detected at line 42599 - -Overfull \vbox (0.56999pt too high) detected at line 42599 +Overfull \vbox (2.84741pt too high) detected at line 42609 [547] -Overfull \vbox (2.84741pt too high) detected at line 42774 +Underfull \vbox (badness 10000) detected at line 42609 + +Overfull \vbox (0.56999pt too high) detected at line 42609 [548] -Underfull \vbox (badness 10000) detected at line 42774 +Underfull \vbox (badness 10000) detected at line 42609 -Overfull \vbox (0.56999pt too high) detected at line 42774 +Overfull \vbox (0.56999pt too high) detected at line 42609 [549] -Underfull \vbox (badness 10000) detected at line 42774 - -Overfull \vbox (0.56999pt too high) detected at line 42774 +Overfull \vbox (2.84741pt too high) detected at line 42784 [550] -Overfull \vbox (2.84741pt too high) detected at line 42924 +Underfull \vbox (badness 10000) detected at line 42784 + +Overfull \vbox (0.56999pt too high) detected at line 42784 [551] -Underfull \vbox (badness 10000) detected at line 42924 +Underfull \vbox (badness 10000) detected at line 42784 -Overfull \vbox (0.56999pt too high) detected at line 42924 +Overfull \vbox (0.56999pt too high) detected at line 42784 [552] -Underfull \vbox (badness 10000) detected at line 42924 - -Overfull \vbox (0.56999pt too high) detected at line 42924 +Overfull \vbox (2.84741pt too high) detected at line 42934 [553] -Overfull \vbox (2.84741pt too high) detected at line 43083 +Underfull \vbox (badness 10000) detected at line 42934 + +Overfull \vbox (0.56999pt too high) detected at line 42934 [554] -Underfull \vbox (badness 10000) detected at line 43083 +Underfull \vbox (badness 10000) detected at line 42934 -Overfull \vbox (0.56999pt too high) detected at line 43083 +Overfull \vbox (0.56999pt too high) detected at line 42934 [555] -Underfull \vbox (badness 10000) detected at line 43083 - -Overfull \vbox (0.56999pt too high) detected at line 43083 +Overfull \vbox (2.84741pt too high) detected at line 43093 [556] -Overfull \vbox (2.84741pt too high) detected at line 43251 +Underfull \vbox (badness 10000) detected at line 43093 + +Overfull \vbox (0.56999pt too high) detected at line 43093 [557] -Underfull \vbox (badness 10000) detected at line 43251 +Underfull \vbox (badness 10000) detected at line 43093 -Overfull \vbox (0.56999pt too high) detected at line 43251 +Overfull \vbox (0.56999pt too high) detected at line 43093 [558] -Underfull \vbox (badness 10000) detected at line 43251 - -Overfull \vbox (0.56999pt too high) detected at line 43251 +Overfull \vbox (2.84741pt too high) detected at line 43261 [559] -Overfull \vbox (2.84741pt too high) detected at line 43366 +Underfull \vbox (badness 10000) detected at line 43261 + +Overfull \vbox (0.56999pt too high) detected at line 43261 [560] -Underfull \vbox (badness 10000) detected at line 43366 +Underfull \vbox (badness 10000) detected at line 43261 -Overfull \vbox (0.56999pt too high) detected at line 43366 +Overfull \vbox (0.56999pt too high) detected at line 43261 [561] -Overfull \vbox (2.84741pt too high) detected at line 43581 +Overfull \vbox (2.84741pt too high) detected at line 43376 [562] -Underfull \vbox (badness 10000) detected at line 43581 +Underfull \vbox (badness 10000) detected at line 43376 -Overfull \vbox (0.56999pt too high) detected at line 43581 +Overfull \vbox (0.56999pt too high) detected at line 43376 [563] -Underfull \vbox (badness 10000) detected at line 43581 - -Overfull \vbox (0.56999pt too high) detected at line 43581 +Overfull \vbox (2.84741pt too high) detected at line 43591 [564] -Underfull \vbox (badness 10000) detected at line 43581 +Underfull \vbox (badness 10000) detected at line 43591 -Overfull \vbox (0.56999pt too high) detected at line 43581 +Overfull \vbox (0.56999pt too high) detected at line 43591 [565] -[566] -Overfull \vbox (1.94772pt too high) detected at line 43840 +Underfull \vbox (badness 10000) detected at line 43591 -[567] -Underfull \vbox (badness 10000) detected at line 43840 +Overfull \vbox (0.56999pt too high) detected at line 43591 + +[566] +Underfull \vbox (badness 10000) detected at line 43591 -Overfull \vbox (0.56999pt too high) detected at line 43840 +Overfull \vbox (0.56999pt too high) detected at line 43591 +[567] [568] -Underfull \vbox (badness 10000) detected at line 43840 - -Overfull \vbox (0.56999pt too high) detected at line 43840 +Overfull \vbox (1.94772pt too high) detected at line 43850 [569] -Overfull \vbox (2.84741pt too high) detected at line 43877 +Underfull \vbox (badness 10000) detected at line 43850 + +Overfull \vbox (0.56999pt too high) detected at line 43850 [570] +Underfull \vbox (badness 10000) detected at line 43850 + +Overfull \vbox (0.56999pt too high) detected at line 43850 + [571] -Overfull \vbox (2.84741pt too high) detected at line 44029 +Overfull \vbox (2.84741pt too high) detected at line 43887 [572] [573] -[574] -Overfull \vbox (1.94772pt too high) detected at line 44325 +Overfull \vbox (2.84741pt too high) detected at line 44039 +[574] [575] -Underfull \vbox (badness 10000) detected at line 44325 - -Overfull \vbox (0.56999pt too high) detected at line 44325 - [576] +Overfull \vbox (1.94772pt too high) detected at line 44335 + [577] -Overfull \vbox (1.94772pt too high) detected at line 44474 +Underfull \vbox (badness 10000) detected at line 44335 + +Overfull \vbox (0.56999pt too high) detected at line 44335 [578] [579] -[580] -Overfull \vbox (1.94772pt too high) detected at line 44667 +Overfull \vbox (1.94772pt too high) detected at line 44484 +[580] [581] -Overfull \vbox (2.84741pt too high) detected at line 44749 - [582] -Overfull \vbox (2.84741pt too high) detected at line 44788 +Overfull \vbox (1.94772pt too high) detected at line 44677 [583] -Overfull \vbox (2.84741pt too high) detected at line 45043 +Overfull \vbox (2.84741pt too high) detected at line 44759 [584] -Underfull \vbox (badness 10000) detected at line 45043 - -Overfull \vbox (0.56999pt too high) detected at line 45043 +Overfull \vbox (2.84741pt too high) detected at line 44798 [585] -Underfull \vbox (badness 10000) detected at line 45043 - -Overfull \vbox (0.56999pt too high) detected at line 45043 +Overfull \vbox (2.84741pt too high) detected at line 45053 [586] -Underfull \vbox (badness 10000) detected at line 45043 +Underfull \vbox (badness 10000) detected at line 45053 -Overfull \vbox (0.56999pt too high) detected at line 45043 +Overfull \vbox (0.56999pt too high) detected at line 45053 [587] +Underfull \vbox (badness 10000) detected at line 45053 + +Overfull \vbox (0.56999pt too high) detected at line 45053 + [588] +Underfull \vbox (badness 10000) detected at line 45053 + +Overfull \vbox (0.56999pt too high) detected at line 45053 + [589] [590] [591] -Underfull \vbox (badness 10000) detected at line 45555 - -Overfull \vbox (0.56999pt too high) detected at line 45555 - [592] -Underfull \vbox (badness 10000) detected at line 45555 - -Overfull \vbox (0.56999pt too high) detected at line 45555 - [593] -Underfull \vbox (badness 10000) detected at line 45555 +Underfull \vbox (badness 10000) detected at line 45565 -Overfull \vbox (0.56999pt too high) detected at line 45555 +Overfull \vbox (0.56999pt too high) detected at line 45565 [594] -Underfull \vbox (badness 10000) detected at line 45555 +Underfull \vbox (badness 10000) detected at line 45565 -Overfull \vbox (0.56999pt too high) detected at line 45555 +Overfull \vbox (0.56999pt too high) detected at line 45565 [595] -[596] -Overfull \vbox (1.94772pt too high) detected at line 45783 +Underfull \vbox (badness 10000) detected at line 45565 -[597] -Underfull \vbox (badness 10000) detected at line 45783 +Overfull \vbox (0.56999pt too high) detected at line 45565 + +[596] +Underfull \vbox (badness 10000) detected at line 45565 -Overfull \vbox (0.56999pt too high) detected at line 45783 +Overfull \vbox (0.56999pt too high) detected at line 45565 +[597] [598] -Underfull \vbox (badness 10000) detected at line 45783 - -Overfull \vbox (0.56999pt too high) detected at line 45783 +Overfull \vbox (1.94772pt too high) detected at line 45793 [599] +Underfull \vbox (badness 10000) detected at line 45793 + +Overfull \vbox (0.56999pt too high) detected at line 45793 + [600] -[601] -Underfull \vbox (badness 10000) detected at line 45994 +Underfull \vbox (badness 10000) detected at line 45793 -Overfull \vbox (0.56999pt too high) detected at line 45994 +Overfull \vbox (0.56999pt too high) detected at line 45793 +[601] [602] [603] -[604] -Overfull \vbox (2.84741pt too high) detected at line 46186 +Underfull \vbox (badness 10000) detected at line 46004 -[605] -Overfull \vbox (2.84741pt too high) detected at line 46250 +Overfull \vbox (0.56999pt too high) detected at line 46004 +[604] +[605] [606] +Overfull \vbox (2.84741pt too high) detected at line 46196 + [607] +Overfull \vbox (2.84741pt too high) detected at line 46260 + [608] -Underfull \hbox (badness 5652) in paragraph at lines 46284--46286 +[609] +[610] +Underfull \hbox (badness 5652) in paragraph at lines 46294--46296 \T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. -Underfull \hbox (badness 7685) in paragraph at lines 46287--46289 +Underfull \hbox (badness 7685) in paragraph at lines 46297--46299 []\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo r ver-ilog hard-ware de- -Underfull \hbox (badness 5022) in paragraph at lines 46287--46289 +Underfull \hbox (badness 5022) in paragraph at lines 46297--46299 \T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. -[609] -[610] - [611] - [612] [613] + [614] [615] @@ -56288,26 +56321,29 @@ [616] [617] - [618] [619] + [620] [621] - [622] -Underfull \hbox (badness 10000) in paragraph at lines 47246--47247 +[623] + +[624] + +Underfull \hbox (badness 10000) in paragraph at lines 47256--47257 []\T1/lmtt/m/n/10 write_functional_cxx -Underfull \hbox (badness 10000) in paragraph at lines 47247--47248 +Underfull \hbox (badness 10000) in paragraph at lines 47257--47258 []\T1/lmtt/m/n/10 write_functional_rosette -Underfull \hbox (badness 10000) in paragraph at lines 47248--47249 +Underfull \hbox (badness 10000) in paragraph at lines 47258--47259 []\T1/lmtt/m/n/10 write_functional_smt2 -[623] (./yosyshqyosys.ind) (./yosyshqyosys.aux) ) +[625] (./yosyshqyosys.ind) (./yosyshqyosys.aux) ) (see the transcript file for additional information) -Output written on yosyshqyosys.pdf (635 pages, 3052414 bytes). +Output written on yosyshqyosys.pdf (637 pages, 3053408 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' @@ -57656,10 +57692,10 @@ [173] [174] [175] -Underfull \vbox (badness 5331) detected at line 11741 +Underfull \vbox (badness 5331) detected at line 11746 [176] -Underfull \hbox (badness 10000) in paragraph at lines 11743--11752 +Underfull \hbox (badness 10000) in paragraph at lines 11748--11757 []\T1/lmr/m/n/10 Be-cause we are us-ing the \T1/lmtt/m/n/10 Backend \T1/lmr/m/n /10 class, our \T1/lmtt/m/n/10 "functional_dummy" \T1/lmr/m/n/10 is reg-is-tere d as the @@ -57667,23 +57703,23 @@ [177] [178] [179] -Underfull \vbox (badness 1072) detected at line 12030 +Underfull \vbox (badness 1072) detected at line 12035 [180] [181] [182] [183] -Underfull \hbox (badness 10000) in paragraph at lines 12201--12201 +Underfull \hbox (badness 10000) in paragraph at lines 12206--12206 []\T1/lmr/m/n/10 Listing 4.22: |[]iterating over Func-tion-alIR nodes in [184] -Underfull \hbox (badness 10000) in paragraph at lines 12226--12226 +Underfull \hbox (badness 10000) in paragraph at lines 12231--12231 []\T1/lmr/m/n/10 Listing 4.24: |[]diff of out-put/next state han-dling [185] [186] [187] -Underfull \vbox (badness 4001) detected at line 12501 +Underfull \vbox (badness 4001) detected at line 12506 [188] [189 <./red_or3x1.pdf>] @@ -57691,15 +57727,15 @@ [191 <./mymul.pdf>] [192] [193 <./mulshift.pdf>] -Underfull \hbox (badness 5490) in paragraph at lines 12814--12817 +Underfull \hbox (badness 5490) in paragraph at lines 12819--12822 []\T1/lmr/m/n/10 Each bit of the port cor-re-lates to an \T1/lmtt/m/n/10 _TECHM AP_BITS_CONNMAP_ \T1/lmr/m/n/10 bits wide num-ber in -Underfull \hbox (badness 10000) in paragraph at lines 12819--12822 +Underfull \hbox (badness 10000) in paragraph at lines 12824--12827 []\T1/lmr/m/n/10 Each unique sig-nal bit is as-signed its own num-ber. Iden-ti- cal fields in the -Overfull \vbox (0.52754pt too high) detected at line 12874 +Overfull \vbox (0.52754pt too high) detected at line 12879 [194] [195 <./addshift.pdf>] @@ -57740,30 +57776,28 @@ [224] Chapter 8. -Overfull \vbox (1.34746pt too high) detected at line 15204 +Overfull \vbox (1.34746pt too high) detected at line 15214 [225] [226] -Underfull \vbox (badness 10000) detected at line 15439 - -Overfull \vbox (0.56999pt too high) detected at line 15439 +Underfull \vbox (badness 4954) detected at line 15449 [227] -Underfull \vbox (badness 10000) detected at line 15439 +Underfull \vbox (badness 10000) detected at line 15449 -Overfull \vbox (0.56999pt too high) detected at line 15439 +Overfull \vbox (0.56999pt too high) detected at line 15449 [228] -Underfull \vbox (badness 10000) detected at line 15439 +Underfull \vbox (badness 10000) detected at line 15449 -Overfull \vbox (0.56999pt too high) detected at line 15439 +Overfull \vbox (0.56999pt too high) detected at line 15449 [229] [230] -Chapter 9. - [231] [232] +Chapter 9. + [233] [234] [235] @@ -57786,10 +57820,10 @@ [252] [253] [254] -Overfull \vbox (2.36986pt too high) detected at line 18188 - [255] [256] +Overfull \vbox (2.36986pt too high) detected at line 18198 + [257] [258] [259] @@ -57808,63 +57842,63 @@ [272] [273] [274] -Underfull \vbox (badness 10000) detected at line 20015 - -Overfull \vbox (2.76991pt too high) detected at line 20015 - [275] [276] -Underfull \vbox (badness 10000) detected at line 20154 +Underfull \vbox (badness 10000) detected at line 20025 -Overfull \vbox (2.76991pt too high) detected at line 20154 +Overfull \vbox (2.76991pt too high) detected at line 20025 [277] -Underfull \vbox (badness 10000) detected at line 20154 +[278] +Underfull \vbox (badness 10000) detected at line 20164 -Overfull \vbox (0.56999pt too high) detected at line 20154 +Overfull \vbox (2.76991pt too high) detected at line 20164 -[278] [279] +Underfull \vbox (badness 10000) detected at line 20164 + +Overfull \vbox (0.56999pt too high) detected at line 20164 + [280] [281] [282] [283] -Underfull \vbox (badness 10000) detected at line 20569 - -Overfull \vbox (0.56999pt too high) detected at line 20569 - [284] [285] +Underfull \vbox (badness 10000) detected at line 20579 + +Overfull \vbox (0.56999pt too high) detected at line 20579 + [286] [287] [288] -Underfull \vbox (badness 10000) detected at line 21004 - -Overfull \vbox (0.56999pt too high) detected at line 21004 - [289] -Underfull \vbox (badness 10000) detected at line 21004 +[290] +Underfull \vbox (badness 10000) detected at line 21014 -Overfull \vbox (0.56999pt too high) detected at line 21004 +Overfull \vbox (0.56999pt too high) detected at line 21014 -[290] [291] +Underfull \vbox (badness 10000) detected at line 21014 + +Overfull \vbox (0.56999pt too high) detected at line 21014 + [292] [293] -Underfull \vbox (badness 10000) detected at line 21380 - [294] -Underfull \vbox (badness 10000) detected at line 21380 - -Overfull \vbox (0.56999pt too high) detected at line 21380 - [295] -Underfull \vbox (badness 10000) detected at line 21380 - -Overfull \vbox (0.56999pt too high) detected at line 21380 +Underfull \vbox (badness 10000) detected at line 21390 [296] +Underfull \vbox (badness 10000) detected at line 21390 + +Overfull \vbox (0.56999pt too high) detected at line 21390 + [297] +Underfull \vbox (badness 10000) detected at line 21390 + +Overfull \vbox (0.56999pt too high) detected at line 21390 + [298] [299] [300] @@ -57954,87 +57988,87 @@ [384] [385] [386] -Chapter 10. - [387] [388] -[389] -Underfull \vbox (badness 10000) detected at line 31875 - -Overfull \vbox (0.56999pt too high) detected at line 31875 +Chapter 10. +[389] [390] -Underfull \vbox (badness 10000) detected at line 31875 - -Overfull \vbox (0.56999pt too high) detected at line 31875 - [391] -Overfull \vbox (2.84741pt too high) detected at line 32033 +Underfull \vbox (badness 10000) detected at line 31885 + +Overfull \vbox (0.56999pt too high) detected at line 31885 [392] -Underfull \vbox (badness 10000) detected at line 32033 +Underfull \vbox (badness 10000) detected at line 31885 -Overfull \vbox (0.56999pt too high) detected at line 32033 +Overfull \vbox (0.56999pt too high) detected at line 31885 [393] -Underfull \vbox (badness 10000) detected at line 32033 - -Overfull \vbox (0.56999pt too high) detected at line 32033 +Overfull \vbox (2.84741pt too high) detected at line 32043 [394] +Underfull \vbox (badness 10000) detected at line 32043 + +Overfull \vbox (0.56999pt too high) detected at line 32043 + [395] -Underfull \vbox (badness 10000) detected at line 32139 +Underfull \vbox (badness 10000) detected at line 32043 -Underfull \vbox (badness 10000) detected at line 32139 +Overfull \vbox (0.56999pt too high) detected at line 32043 [396] -Overfull \vbox (2.84741pt too high) detected at line 32237 - [397] -Underfull \vbox (badness 10000) detected at line 32237 +Underfull \vbox (badness 10000) detected at line 32149 -Overfull \vbox (0.56999pt too high) detected at line 32237 +Underfull \vbox (badness 10000) detected at line 32149 [398] +Overfull \vbox (2.84741pt too high) detected at line 32247 + [399] -[400] -Overfull \vbox (2.84741pt too high) detected at line 32421 +Underfull \vbox (badness 10000) detected at line 32247 +Overfull \vbox (0.56999pt too high) detected at line 32247 + +[400] [401] [402] -[403] -Overfull \vbox (2.84741pt too high) detected at line 32662 +Overfull \vbox (2.84741pt too high) detected at line 32431 +[403] [404] [405] +Overfull \vbox (2.84741pt too high) detected at line 32672 + [406] [407] [408] [409] -Overfull \vbox (1.94772pt too high) detected at line 33106 - [410] -Overfull \vbox (2.84741pt too high) detected at line 33168 - [411] +Overfull \vbox (1.94772pt too high) detected at line 33116 + [412] +Overfull \vbox (2.84741pt too high) detected at line 33178 + [413] [414] -Overfull \vbox (1.94772pt too high) detected at line 33501 - [415] [416] +Overfull \vbox (1.94772pt too high) detected at line 33511 + [417] [418] [419] [420] -Overfull \vbox (1.94772pt too high) detected at line 33978 - [421] -Overfull \vbox (2.84741pt too high) detected at line 34021 - [422] +Overfull \vbox (1.94772pt too high) detected at line 33988 + [423] +Overfull \vbox (2.84741pt too high) detected at line 34031 + [424] [425] [426] @@ -58042,530 +58076,529 @@ [428] [429] [430] -Overfull \vbox (1.94772pt too high) detected at line 34727 - [431] -Overfull \vbox (2.84741pt too high) detected at line 34827 - [432] +Overfull \vbox (1.94772pt too high) detected at line 34737 + [433] +Overfull \vbox (2.84741pt too high) detected at line 34837 + [434] [435] -Overfull \vbox (2.84741pt too high) detected at line 35065 - [436] [437] -Overfull \vbox (1.94772pt too high) detected at line 35212 +Overfull \vbox (2.84741pt too high) detected at line 35075 [438] [439] -Overfull \vbox (1.94772pt too high) detected at line 35345 +Overfull \vbox (1.94772pt too high) detected at line 35222 [440] [441] +Overfull \vbox (1.94772pt too high) detected at line 35355 + [442] [443] [444] -Underfull \vbox (badness 10000) detected at line 35727 - -Overfull \vbox (0.56999pt too high) detected at line 35727 - [445] [446] -Underfull \vbox (badness 10000) detected at line 35874 +Underfull \vbox (badness 10000) detected at line 35737 -Overfull \vbox (0.56999pt too high) detected at line 35874 +Overfull \vbox (0.56999pt too high) detected at line 35737 [447] [448] +Underfull \vbox (badness 10000) detected at line 35884 + +Overfull \vbox (0.56999pt too high) detected at line 35884 + [449] [450] [451] [452] -Overfull \vbox (1.94772pt too high) detected at line 36370 - [453] -Overfull \vbox (2.84741pt too high) detected at line 36427 - [454] -[455] -[456] -Underfull \vbox (badness 10000) detected at line 36692 +Overfull \vbox (1.94772pt too high) detected at line 36380 -Overfull \vbox (0.56999pt too high) detected at line 36692 +[455] +Overfull \vbox (2.84741pt too high) detected at line 36437 +[456] [457] [458] +Underfull \vbox (badness 10000) detected at line 36702 + +Overfull \vbox (0.56999pt too high) detected at line 36702 + [459] [460] [461] [462] -Overfull \vbox (2.84741pt too high) detected at line 37161 - [463] -Overfull \vbox (2.84741pt too high) detected at line 37206 - [464] +Overfull \vbox (2.84741pt too high) detected at line 37171 + [465] +Overfull \vbox (2.84741pt too high) detected at line 37216 + [466] [467] -Overfull \vbox (2.84741pt too high) detected at line 37500 - [468] [469] +Overfull \vbox (2.84741pt too high) detected at line 37510 + [470] [471] [472] [473] [474] -Overfull \vbox (1.94772pt too high) detected at line 38102 - [475] [476] +Overfull \vbox (1.94772pt too high) detected at line 38112 + [477] [478] [479] -Underfull \vbox (badness 10000) detected at line 38500 - -Overfull \vbox (0.56999pt too high) detected at line 38500 - [480] [481] -[482] -Overfull \vbox (2.84741pt too high) detected at line 38732 +Underfull \vbox (badness 10000) detected at line 38510 +Overfull \vbox (0.56999pt too high) detected at line 38510 + +[482] [483] [484] -[485] -Underfull \vbox (badness 10000) detected at line 39053 - -Overfull \vbox (0.56999pt too high) detected at line 39053 +Overfull \vbox (2.84741pt too high) detected at line 38742 +[485] [486] -Underfull \vbox (badness 10000) detected at line 39053 - -Overfull \vbox (0.56999pt too high) detected at line 39053 - [487] -Underfull \vbox (badness 10000) detected at line 39053 +Underfull \vbox (badness 10000) detected at line 39063 -Overfull \vbox (0.56999pt too high) detected at line 39053 +Overfull \vbox (0.56999pt too high) detected at line 39063 [488] -[489] -[490] -Overfull \vbox (1.94772pt too high) detected at line 39386 +Underfull \vbox (badness 10000) detected at line 39063 -[491] -Underfull \vbox (badness 10000) detected at line 39386 +Overfull \vbox (0.56999pt too high) detected at line 39063 -Overfull \vbox (0.56999pt too high) detected at line 39386 +[489] +Underfull \vbox (badness 10000) detected at line 39063 -[492] -Underfull \vbox (badness 10000) detected at line 39386 +Overfull \vbox (0.56999pt too high) detected at line 39063 -Overfull \vbox (0.56999pt too high) detected at line 39386 +[490] +[491] +[492] +Overfull \vbox (1.94772pt too high) detected at line 39396 [493] +Underfull \vbox (badness 10000) detected at line 39396 + +Overfull \vbox (0.56999pt too high) detected at line 39396 + [494] -Overfull \vbox (2.84741pt too high) detected at line 39512 +Underfull \vbox (badness 10000) detected at line 39396 + +Overfull \vbox (0.56999pt too high) detected at line 39396 [495] [496] -Underfull \vbox (badness 10000) detected at line 39796 - -Overfull \vbox (0.56999pt too high) detected at line 39796 +Overfull \vbox (2.84741pt too high) detected at line 39522 [497] -Underfull \vbox (badness 10000) detected at line 39796 - -Overfull \vbox (0.56999pt too high) detected at line 39796 - [498] -Underfull \vbox (badness 10000) detected at line 39796 +Underfull \vbox (badness 10000) detected at line 39806 -Overfull \vbox (0.56999pt too high) detected at line 39796 +Overfull \vbox (0.56999pt too high) detected at line 39806 [499] -Underfull \vbox (badness 10000) detected at line 39796 +Underfull \vbox (badness 10000) detected at line 39806 -Overfull \vbox (0.56999pt too high) detected at line 39796 +Overfull \vbox (0.56999pt too high) detected at line 39806 [500] +Underfull \vbox (badness 10000) detected at line 39806 + +Overfull \vbox (0.56999pt too high) detected at line 39806 + [501] +Underfull \vbox (badness 10000) detected at line 39806 + +Overfull \vbox (0.56999pt too high) detected at line 39806 + [502] [503] [504] -Overfull \vbox (2.84741pt too high) detected at line 40183 - [505] -Overfull \vbox (2.84741pt too high) detected at line 40312 - [506] -Underfull \vbox (badness 10000) detected at line 40312 - -Overfull \vbox (0.56999pt too high) detected at line 40312 +Overfull \vbox (2.84741pt too high) detected at line 40193 [507] -Underfull \vbox (badness 10000) detected at line 40312 - -Overfull \vbox (0.56999pt too high) detected at line 40312 +Overfull \vbox (2.84741pt too high) detected at line 40322 [508] +Underfull \vbox (badness 10000) detected at line 40322 + +Overfull \vbox (0.56999pt too high) detected at line 40322 + [509] +Underfull \vbox (badness 10000) detected at line 40322 + +Overfull \vbox (0.56999pt too high) detected at line 40322 + [510] [511] [512] -Underfull \vbox (badness 10000) detected at line 40710 - -Overfull \vbox (0.56999pt too high) detected at line 40710 - [513] -Overfull \vbox (2.84741pt too high) detected at line 40795 - [514] -Underfull \vbox (badness 10000) detected at line 40795 +Underfull \vbox (badness 10000) detected at line 40720 -Overfull \vbox (0.56999pt too high) detected at line 40795 +Overfull \vbox (0.56999pt too high) detected at line 40720 [515] -Overfull \vbox (2.84741pt too high) detected at line 40904 +Overfull \vbox (2.84741pt too high) detected at line 40805 [516] +Underfull \vbox (badness 10000) detected at line 40805 + +Overfull \vbox (0.56999pt too high) detected at line 40805 + [517] -[518] -Overfull \vbox (2.84741pt too high) detected at line 41084 +Overfull \vbox (2.84741pt too high) detected at line 40914 +[518] [519] [520] -[521] -Underfull \vbox (badness 10000) detected at line 41262 - -Overfull \vbox (0.56999pt too high) detected at line 41262 +Overfull \vbox (2.84741pt too high) detected at line 41094 +[521] [522] -Underfull \vbox (badness 10000) detected at line 41262 - -Overfull \vbox (0.56999pt too high) detected at line 41262 - [523] -Overfull \vbox (2.84741pt too high) detected at line 41370 +Underfull \vbox (badness 10000) detected at line 41272 + +Overfull \vbox (0.56999pt too high) detected at line 41272 [524] -Underfull \vbox (badness 10000) detected at line 41370 +Underfull \vbox (badness 10000) detected at line 41272 -Overfull \vbox (0.56999pt too high) detected at line 41370 +Overfull \vbox (0.56999pt too high) detected at line 41272 [525] -Overfull \vbox (2.84741pt too high) detected at line 41548 +Overfull \vbox (2.84741pt too high) detected at line 41380 [526] -Underfull \vbox (badness 10000) detected at line 41548 +Underfull \vbox (badness 10000) detected at line 41380 -Overfull \vbox (0.56999pt too high) detected at line 41548 +Overfull \vbox (0.56999pt too high) detected at line 41380 [527] -Underfull \vbox (badness 10000) detected at line 41548 - -Overfull \vbox (0.56999pt too high) detected at line 41548 +Overfull \vbox (2.84741pt too high) detected at line 41558 [528] -Overfull \vbox (2.84741pt too high) detected at line 41711 +Underfull \vbox (badness 10000) detected at line 41558 + +Overfull \vbox (0.56999pt too high) detected at line 41558 [529] -Underfull \vbox (badness 10000) detected at line 41711 +Underfull \vbox (badness 10000) detected at line 41558 -Overfull \vbox (0.56999pt too high) detected at line 41711 +Overfull \vbox (0.56999pt too high) detected at line 41558 [530] -Underfull \vbox (badness 10000) detected at line 41711 - -Overfull \vbox (0.56999pt too high) detected at line 41711 +Overfull \vbox (2.84741pt too high) detected at line 41721 [531] -Overfull \vbox (2.84741pt too high) detected at line 41848 +Underfull \vbox (badness 10000) detected at line 41721 + +Overfull \vbox (0.56999pt too high) detected at line 41721 [532] -Underfull \vbox (badness 10000) detected at line 41848 +Underfull \vbox (badness 10000) detected at line 41721 -Overfull \vbox (0.56999pt too high) detected at line 41848 +Overfull \vbox (0.56999pt too high) detected at line 41721 [533] -Overfull \vbox (2.84741pt too high) detected at line 41948 +Overfull \vbox (2.84741pt too high) detected at line 41858 [534] -Underfull \vbox (badness 10000) detected at line 41948 +Underfull \vbox (badness 10000) detected at line 41858 -Overfull \vbox (0.56999pt too high) detected at line 41948 +Overfull \vbox (0.56999pt too high) detected at line 41858 [535] -Overfull \vbox (2.84741pt too high) detected at line 42144 +Overfull \vbox (2.84741pt too high) detected at line 41958 [536] -Underfull \vbox (badness 10000) detected at line 42144 +Underfull \vbox (badness 10000) detected at line 41958 -Overfull \vbox (0.56999pt too high) detected at line 42144 +Overfull \vbox (0.56999pt too high) detected at line 41958 [537] -Underfull \vbox (badness 10000) detected at line 42144 - -Overfull \vbox (0.56999pt too high) detected at line 42144 +Overfull \vbox (2.84741pt too high) detected at line 42154 [538] -Underfull \vbox (badness 10000) detected at line 42144 +Underfull \vbox (badness 10000) detected at line 42154 -Overfull \vbox (0.56999pt too high) detected at line 42144 +Overfull \vbox (0.56999pt too high) detected at line 42154 [539] -Overfull \vbox (2.84741pt too high) detected at line 42288 +Underfull \vbox (badness 10000) detected at line 42154 + +Overfull \vbox (0.56999pt too high) detected at line 42154 [540] -Underfull \vbox (badness 10000) detected at line 42288 +Underfull \vbox (badness 10000) detected at line 42154 -Overfull \vbox (0.56999pt too high) detected at line 42288 +Overfull \vbox (0.56999pt too high) detected at line 42154 [541] +Overfull \vbox (2.84741pt too high) detected at line 42298 + [542] -Underfull \vbox (badness 10000) detected at line 42417 +Underfull \vbox (badness 10000) detected at line 42298 -Overfull \vbox (0.56999pt too high) detected at line 42417 +Overfull \vbox (0.56999pt too high) detected at line 42298 [543] -Underfull \vbox (badness 10000) detected at line 42417 - -Overfull \vbox (0.56999pt too high) detected at line 42417 - [544] -Overfull \vbox (2.84741pt too high) detected at line 42599 +Underfull \vbox (badness 10000) detected at line 42427 + +Overfull \vbox (0.56999pt too high) detected at line 42427 [545] -Underfull \vbox (badness 10000) detected at line 42599 +Underfull \vbox (badness 10000) detected at line 42427 -Overfull \vbox (0.56999pt too high) detected at line 42599 +Overfull \vbox (0.56999pt too high) detected at line 42427 [546] -Underfull \vbox (badness 10000) detected at line 42599 - -Overfull \vbox (0.56999pt too high) detected at line 42599 +Overfull \vbox (2.84741pt too high) detected at line 42609 [547] -Overfull \vbox (2.84741pt too high) detected at line 42774 +Underfull \vbox (badness 10000) detected at line 42609 + +Overfull \vbox (0.56999pt too high) detected at line 42609 [548] -Underfull \vbox (badness 10000) detected at line 42774 +Underfull \vbox (badness 10000) detected at line 42609 -Overfull \vbox (0.56999pt too high) detected at line 42774 +Overfull \vbox (0.56999pt too high) detected at line 42609 [549] -Underfull \vbox (badness 10000) detected at line 42774 - -Overfull \vbox (0.56999pt too high) detected at line 42774 +Overfull \vbox (2.84741pt too high) detected at line 42784 [550] -Overfull \vbox (2.84741pt too high) detected at line 42924 +Underfull \vbox (badness 10000) detected at line 42784 + +Overfull \vbox (0.56999pt too high) detected at line 42784 [551] -Underfull \vbox (badness 10000) detected at line 42924 +Underfull \vbox (badness 10000) detected at line 42784 -Overfull \vbox (0.56999pt too high) detected at line 42924 +Overfull \vbox (0.56999pt too high) detected at line 42784 [552] -Underfull \vbox (badness 10000) detected at line 42924 - -Overfull \vbox (0.56999pt too high) detected at line 42924 +Overfull \vbox (2.84741pt too high) detected at line 42934 [553] -Overfull \vbox (2.84741pt too high) detected at line 43083 +Underfull \vbox (badness 10000) detected at line 42934 + +Overfull \vbox (0.56999pt too high) detected at line 42934 [554] -Underfull \vbox (badness 10000) detected at line 43083 +Underfull \vbox (badness 10000) detected at line 42934 -Overfull \vbox (0.56999pt too high) detected at line 43083 +Overfull \vbox (0.56999pt too high) detected at line 42934 [555] -Underfull \vbox (badness 10000) detected at line 43083 - -Overfull \vbox (0.56999pt too high) detected at line 43083 +Overfull \vbox (2.84741pt too high) detected at line 43093 [556] -Overfull \vbox (2.84741pt too high) detected at line 43251 +Underfull \vbox (badness 10000) detected at line 43093 + +Overfull \vbox (0.56999pt too high) detected at line 43093 [557] -Underfull \vbox (badness 10000) detected at line 43251 +Underfull \vbox (badness 10000) detected at line 43093 -Overfull \vbox (0.56999pt too high) detected at line 43251 +Overfull \vbox (0.56999pt too high) detected at line 43093 [558] -Underfull \vbox (badness 10000) detected at line 43251 - -Overfull \vbox (0.56999pt too high) detected at line 43251 +Overfull \vbox (2.84741pt too high) detected at line 43261 [559] -Overfull \vbox (2.84741pt too high) detected at line 43366 +Underfull \vbox (badness 10000) detected at line 43261 + +Overfull \vbox (0.56999pt too high) detected at line 43261 [560] -Underfull \vbox (badness 10000) detected at line 43366 +Underfull \vbox (badness 10000) detected at line 43261 -Overfull \vbox (0.56999pt too high) detected at line 43366 +Overfull \vbox (0.56999pt too high) detected at line 43261 [561] -Overfull \vbox (2.84741pt too high) detected at line 43581 +Overfull \vbox (2.84741pt too high) detected at line 43376 [562] -Underfull \vbox (badness 10000) detected at line 43581 +Underfull \vbox (badness 10000) detected at line 43376 -Overfull \vbox (0.56999pt too high) detected at line 43581 +Overfull \vbox (0.56999pt too high) detected at line 43376 [563] -Underfull \vbox (badness 10000) detected at line 43581 - -Overfull \vbox (0.56999pt too high) detected at line 43581 +Overfull \vbox (2.84741pt too high) detected at line 43591 [564] -Underfull \vbox (badness 10000) detected at line 43581 +Underfull \vbox (badness 10000) detected at line 43591 -Overfull \vbox (0.56999pt too high) detected at line 43581 +Overfull \vbox (0.56999pt too high) detected at line 43591 [565] -[566] -Overfull \vbox (1.94772pt too high) detected at line 43840 +Underfull \vbox (badness 10000) detected at line 43591 -[567] -Underfull \vbox (badness 10000) detected at line 43840 +Overfull \vbox (0.56999pt too high) detected at line 43591 -Overfull \vbox (0.56999pt too high) detected at line 43840 +[566] +Underfull \vbox (badness 10000) detected at line 43591 -[568] -Underfull \vbox (badness 10000) detected at line 43840 +Overfull \vbox (0.56999pt too high) detected at line 43591 -Overfull \vbox (0.56999pt too high) detected at line 43840 +[567] +[568] +Overfull \vbox (1.94772pt too high) detected at line 43850 [569] -Overfull \vbox (2.84741pt too high) detected at line 43877 +Underfull \vbox (badness 10000) detected at line 43850 + +Overfull \vbox (0.56999pt too high) detected at line 43850 [570] +Underfull \vbox (badness 10000) detected at line 43850 + +Overfull \vbox (0.56999pt too high) detected at line 43850 + [571] -Overfull \vbox (2.84741pt too high) detected at line 44029 +Overfull \vbox (2.84741pt too high) detected at line 43887 [572] [573] -[574] -Overfull \vbox (1.94772pt too high) detected at line 44325 +Overfull \vbox (2.84741pt too high) detected at line 44039 +[574] [575] -Underfull \vbox (badness 10000) detected at line 44325 - -Overfull \vbox (0.56999pt too high) detected at line 44325 - [576] +Overfull \vbox (1.94772pt too high) detected at line 44335 + [577] -Overfull \vbox (1.94772pt too high) detected at line 44474 +Underfull \vbox (badness 10000) detected at line 44335 + +Overfull \vbox (0.56999pt too high) detected at line 44335 [578] [579] -[580] -Overfull \vbox (1.94772pt too high) detected at line 44667 +Overfull \vbox (1.94772pt too high) detected at line 44484 +[580] [581] -Overfull \vbox (2.84741pt too high) detected at line 44749 - [582] -Overfull \vbox (2.84741pt too high) detected at line 44788 +Overfull \vbox (1.94772pt too high) detected at line 44677 [583] -Overfull \vbox (2.84741pt too high) detected at line 45043 +Overfull \vbox (2.84741pt too high) detected at line 44759 [584] -Underfull \vbox (badness 10000) detected at line 45043 - -Overfull \vbox (0.56999pt too high) detected at line 45043 +Overfull \vbox (2.84741pt too high) detected at line 44798 [585] -Underfull \vbox (badness 10000) detected at line 45043 - -Overfull \vbox (0.56999pt too high) detected at line 45043 +Overfull \vbox (2.84741pt too high) detected at line 45053 [586] -Underfull \vbox (badness 10000) detected at line 45043 +Underfull \vbox (badness 10000) detected at line 45053 -Overfull \vbox (0.56999pt too high) detected at line 45043 +Overfull \vbox (0.56999pt too high) detected at line 45053 [587] +Underfull \vbox (badness 10000) detected at line 45053 + +Overfull \vbox (0.56999pt too high) detected at line 45053 + [588] +Underfull \vbox (badness 10000) detected at line 45053 + +Overfull \vbox (0.56999pt too high) detected at line 45053 + [589] [590] [591] -Underfull \vbox (badness 10000) detected at line 45555 - -Overfull \vbox (0.56999pt too high) detected at line 45555 - [592] -Underfull \vbox (badness 10000) detected at line 45555 - -Overfull \vbox (0.56999pt too high) detected at line 45555 - [593] -Underfull \vbox (badness 10000) detected at line 45555 +Underfull \vbox (badness 10000) detected at line 45565 -Overfull \vbox (0.56999pt too high) detected at line 45555 +Overfull \vbox (0.56999pt too high) detected at line 45565 [594] -Underfull \vbox (badness 10000) detected at line 45555 +Underfull \vbox (badness 10000) detected at line 45565 -Overfull \vbox (0.56999pt too high) detected at line 45555 +Overfull \vbox (0.56999pt too high) detected at line 45565 [595] -[596] -Overfull \vbox (1.94772pt too high) detected at line 45783 +Underfull \vbox (badness 10000) detected at line 45565 -[597] -Underfull \vbox (badness 10000) detected at line 45783 +Overfull \vbox (0.56999pt too high) detected at line 45565 -Overfull \vbox (0.56999pt too high) detected at line 45783 +[596] +Underfull \vbox (badness 10000) detected at line 45565 -[598] -Underfull \vbox (badness 10000) detected at line 45783 +Overfull \vbox (0.56999pt too high) detected at line 45565 -Overfull \vbox (0.56999pt too high) detected at line 45783 +[597] +[598] +Overfull \vbox (1.94772pt too high) detected at line 45793 [599] +Underfull \vbox (badness 10000) detected at line 45793 + +Overfull \vbox (0.56999pt too high) detected at line 45793 + [600] -[601] -Underfull \vbox (badness 10000) detected at line 45994 +Underfull \vbox (badness 10000) detected at line 45793 -Overfull \vbox (0.56999pt too high) detected at line 45994 +Overfull \vbox (0.56999pt too high) detected at line 45793 +[601] [602] [603] -[604] -Overfull \vbox (2.84741pt too high) detected at line 46186 +Underfull \vbox (badness 10000) detected at line 46004 -[605] -Overfull \vbox (2.84741pt too high) detected at line 46250 +Overfull \vbox (0.56999pt too high) detected at line 46004 +[604] +[605] [606] +Overfull \vbox (2.84741pt too high) detected at line 46196 + [607] +Overfull \vbox (2.84741pt too high) detected at line 46260 + [608] -Underfull \hbox (badness 5652) in paragraph at lines 46284--46286 +[609] +[610] +Underfull \hbox (badness 5652) in paragraph at lines 46294--46296 \T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. -Underfull \hbox (badness 7685) in paragraph at lines 46287--46289 +Underfull \hbox (badness 7685) in paragraph at lines 46297--46299 []\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo r ver-ilog hard-ware de- -Underfull \hbox (badness 5022) in paragraph at lines 46287--46289 +Underfull \hbox (badness 5022) in paragraph at lines 46297--46299 \T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. -[609] -[610] - [611] - [612] [613] + [614] [615] @@ -58573,26 +58606,29 @@ [616] [617] - [618] [619] + [620] [621] - [622] -Underfull \hbox (badness 10000) in paragraph at lines 47246--47247 +[623] + +[624] + +Underfull \hbox (badness 10000) in paragraph at lines 47256--47257 []\T1/lmtt/m/n/10 write_functional_cxx -Underfull \hbox (badness 10000) in paragraph at lines 47247--47248 +Underfull \hbox (badness 10000) in paragraph at lines 47257--47258 []\T1/lmtt/m/n/10 write_functional_rosette -Underfull \hbox (badness 10000) in paragraph at lines 47248--47249 +Underfull \hbox (badness 10000) in paragraph at lines 47258--47259 []\T1/lmtt/m/n/10 write_functional_smt2 -[623] (./yosyshqyosys.ind) (./yosyshqyosys.aux) ) +[625] (./yosyshqyosys.ind) (./yosyshqyosys.aux) ) (see the transcript file for additional information) -Output written on yosyshqyosys.pdf (635 pages, 3052414 bytes). +Output written on yosyshqyosys.pdf (637 pages, 3053408 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' @@ -58631,25 +58667,26 @@ debian/rules override_dh_auto_test-arch make[1]: Entering directory '/build/reproducible-path/yosys-0.51' dh_auto_test -- PATH="$PWD:$PATH" - make -j20 test PATH=/build/reproducible-path/yosys-0.51:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games + make -j42 test PATH=/build/reproducible-path/yosys-0.51:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path make[2]: Entering directory '/build/reproducible-path/yosys-0.51' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: make -C tests/arch/anlogic -f run-test.mk make -C tests/arch/ecp5 -f run-test.mk -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/anlogic' make -C tests/arch/efinix -f run-test.mk -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/ecp5' +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/anlogic' make -C tests/arch/gatemate -f run-test.mk -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/efinix' +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/ecp5' make -C tests/arch/gowin -f run-test.mk -make -C tests/arch/ice40 -f run-test.mk +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/efinix' make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/gatemate' +make -C tests/arch/ice40 -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/gowin' +make -C tests/arch/intel_alm -f run-test.mk +make -C tests/arch/machxo2 -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/ice40' -Passed efinix-add_sub.ys -Passed anlogic-counter.ys -Passed ecp5-bug1630.ys +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/intel_alm' +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/machxo2' Warning: Literal has a width of 16 bit, but value requires 184 bit. (< ok +cd tests/simple && bash run-test.sh "" +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/simple' +Passed opt-opt_share_diff_port_widths.ys +...passed tests in tests/alumacc +Checking buffer.aag. +Passed nanoxplore-tribuf.ys +cd tests/simple_abc9 && bash run-test.sh "" +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/simple_abc9' +Passed opt-opt_share_extend.ys +Passed microchip-dff.ys +Passed techmap-bug2183.ys +Checking cnt1.aag. +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +Passed opt-opt_share_large_pmux_cat.ys +Checking cnt1e.aag. +Passed ice40-dffs.ys +Passed techmap-bug2321.ys +< ok +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +cd tests/hana && bash run-test.sh "" +Test: implicit_en -> ok +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/hana' +Passed opt-opt_share_large_pmux_part.ys +Passed nexus-dffs.ys +cd tests/asicworld && bash run-test.sh "" +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/asicworld' Passed machxo2-lutram.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/machxo2' +...passed tests in tests/arch/machxo2 +Passed ice40-fsm.ys +Passed opt-opt_share_mux_tree.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/opt' +Checking halfadder.aag. +...passed tests in tests/opt +Test: case_expr_extend -> ok +Test: case_expr_query -> ok +Checking inverter.aag. +Passed techmap-cellmatch.ys +Passed techmap-bug2759.ys +Passed techmap-cellname.ys +Passed techmap-bug2972.ys +Checking notcnt1.aag. +Passed qlf_k6n10f-div.ys +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. Passed various-celledges_shift.ys +Checking notcnt1e.aag. +Test: amber23_sram_byte_en -> ok +Test: code_hdl_models_GrayCounter -> ok +Passed various-check.ys +Checking or_.aag. Warning: found logic loop in module top: cell $xor$< Y[0] @@ -59252,31 +59559,49 @@ ERROR: Found 1 problems in 'check -assert'. Expected error pattern 'Found [0-9]+ problems in 'check -assert'' found !!! Passed various-check_4.ys +Checking symbols.aag. Warning: wire '\a_q' is assigned in a block at < ok +< ok +Passed nanoxplore-mux.ys +Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEBWE from 1 bits to 4 bits. +Warning: Resizing cell port cas.$mul$< ok +Checking toggle.aag. +Passed techmap-clkbufmap.ys +Passed techmap-clockgate.ys +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +Passed various-const_func.ys +Checking true.aag. +Test: test_simulation_buffer -> ok Passed various-const_func_block_var.ys -Passed sat-dff.ys +Warning: wire '\Q' is assigned in a block at < ok +Checking and_.aig. +Test: code_hdl_models_arbiter -> ok +Passed various-countbits.ys Passed various-deminout_unused.ys Passed various-design.ys ERROR: No saved design 'foo' found! Expected error pattern 'No saved design 'foo' found!' found !!! -Passed various-countbits.ys Passed various-design1.ys ERROR: No saved design 'foo' found! Expected error pattern 'No saved design 'foo' found!' found !!! Passed various-design2.ys -elab_sys_tasks.sv:8: Warning: X is 1. -elab_sys_tasks.sv:22: Warning: -Passed various-elab_sys_tasks.ys -Passed various-equiv_make_make_assert.ys -Warning: Yosys has only limited support for tri-state logic at the moment. (/build/reproducible-path/yosys-0.51/share/simcells.v:476) -Passed various-equiv_opt_multiclock.ys -Passed various-equiv_opt_undef.ys -ERROR: Command stdout did have a line matching given regex "giraffe". -Expected error pattern 'stdout did have a line' found !!! -Passed various-exec.ys -Passed various-fib.ys -Passed techmap-cmp2lcu.ys -Warning: wire '\Q' is assigned in a block at < ok Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff1 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff3 @@ -59478,7 +59640,7 @@ Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff0 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff0 -Checking cnt1e.aag. +Test: test_parser -> ok Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff1 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff3 @@ -59486,53 +59648,31 @@ Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff0 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff0 -Passed verilog-const_arst.ys -Warning: Complex async reset for dff `\q'. -Passed opt-opt_share_extend.ys -Checking empty.aag. -Passed verilog-const_sr.ys -Warning: The new network has no primary inputs. It is recommended -to add a dummy PI to make sure all commands work correctly. -Warning: The current network has no primary outputs. Some commands may not work correctly. -Passed machxo2-shifter.ys -Warning: Yosys has only limited support for tri-state logic at the moment. (< ok +Passed techmap-booth.ys +Test: lesser_size_cast -> ok +Checking cnt1e.aig. +Passed ice40-ice40_opt.ys +Passed microchip-widemux.ys +cd tests/share && bash run-test.sh "" +generating tests.. +Checking empty.aig. +Passed techmap-cmp2lcu.ys +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. +running tests.. +[0]Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. -Checking notcnt1e.aag. -Passed opt-opt_share_large_pmux_cat_multipart.ys +Warning: The current network has no primary outputs. Some commands may not work correctly. +[1]Passed nexus-lutram.ys +cd tests/opt_share && bash run-test.sh "" Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff3 Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff1 @@ -59547,96 +59687,58 @@ Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff1 Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff0 -Passed opt-opt_share_large_pmux_multipart.ys -Passed techmap-dfflegalize_adff_init.ys -Checking or_.aag. -Passed opt-opt_share_large_pmux_part.ys -Passed techmap-dfflegalize_adlatch.ys -Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff1 +generating tests.. +[2]Passed techmap-dfflegalize_adff_init.ys +[3]Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff1 Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff2 Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff0 -Checking symbols.aag. +Checking false.aig. Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff1 Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff2 Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff0 -Passed machxo2-tribuf.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/machxo2' -...passed tests in tests/arch/machxo2 -cd tests/alumacc && bash run-test.sh "" "" -Running macc_b_port_compat.ys.. -Passed opt-opt_share_mux_tree.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/opt' -...passed tests in tests/opt -cd tests/simple && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/simple' -Checking toggle-re.aag. -Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff2 +[4][5][6]Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff2 Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff1 Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff0 Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff2 Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff1 Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff0 Passed techmap-dfflegalize_adlatch_init.ys -...passed tests in tests/alumacc -Checking toggle.aag. -Warning: The new network has no primary inputs. It is recommended -to add a dummy PI to make sure all commands work correctly. -Passed techmap-dfflegalize_aldff.ys -Checking true.aag. -Warning: The new network has no primary inputs. It is recommended -to add a dummy PI to make sure all commands work correctly. -Checking and_.aig. -Checking and_to_bad_out.aig. -Checking buffer.aig. -Passed techmap-dfflegalize_aldff_init.ys -Test: amber23_sram_byte_en -> ok -Checking cnt1.aig. -Warning: The new network has no primary inputs. It is recommended -to add a dummy PI to make sure all commands work correctly. -Test: arrays02 -> ok -Checking cnt1e.aig. -Checking empty.aig. -Warning: The new network has no primary inputs. It is recommended -to add a dummy PI to make sure all commands work correctly. -Warning: The current network has no primary outputs. Some commands may not work correctly. -Checking false.aig. -Passed qlf_k6n10f-div.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. +[7]running tests.. +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/opt_share' +[0]Test: test_simulation_always -> ok Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. +Test: code_hdl_models_d_ff_gates -> ok +cd tests/fsm && bash run-test.sh "" +[8]generating tests.. +[9][1][10]Passed techmap-dfflegalize_aldff.ys +Test: code_hdl_models_d_latch_gates -> ok +Test: test_simulation_inc -> ok +Test: code_hdl_models_clk_div -> ok +Test: asgn_binop -> ok Checking halfadder.aig. -Checking inverter.aig. +[2][11]PRNG seed: 1088929485648563706 +[12][3][13]Test: test_simulation_decoder -> ok +Test: code_hdl_models_clk_div_45 -> ok +[4]running tests.. +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/fsm' +[0][14]Warning: Complex async reset for dff `\Q'. +[5][15][16][6][17][7]Checking inverter.aig. +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. +Passed techmap-dfflegalize_aldff_init.ys +Passed nanoxplore-meminit.ys +[18][8]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +[9][19][20][10][21]Test: no_implicit_en -> ok Checking notcnt1.aig. -Warning: The new network has no primary inputs. It is recommended -to add a dummy PI to make sure all commands work correctly. -Checking notcnt1e.aig. -Checking or_.aig. -Checking symbols.aig. -Test: firrtl_938 -> ok -Checking toggle-re.aig. -Warning: Complex async reset for dff `\Q'. -Checking toggle.aig. -Warning: The new network has no primary inputs. It is recommended -to add a dummy PI to make sure all commands work correctly. -Checking true.aig. -Warning: The new network has no primary inputs. It is recommended +[11][22][23][12][24]Passed xilinx-dsp_fastfir.ys +cd tests/memlib && bash run-test.sh "" +[25]Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. -Running neg.ys. -...passed tests in tests/aiger -cd tests/simple_abc9 && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/simple_abc9' -Test: arrays03 -> ok -Passed techmap-dfflegalize_dff.ys -Test: implicit_en -> ok -Passed xilinx-add_sub.ys -Passed qlf_k6n10f-dsp.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Passed qlf_k6n10f-fsm.ys -Warning: Complex async reset for dff `\Q'. -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Warning: Complex async reset for dff `\Q'. -Passed techmap-dfflegalize_dff_init.ys -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff1 +[13][26][27]Checking notcnt1e.aig. +Test: matching_end_labels -> ok +[14][15][28][16][29]Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff1 Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff2 Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff3 Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff4 @@ -59645,7 +59747,8 @@ Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff3 Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff0 Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff0 -Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff4 +[17][30][31][18]Checking or_.aig. +[32][19]Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff4 Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff3 Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff2 Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff1 @@ -59655,7 +59758,52 @@ Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff1 Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff0 Passed techmap-dfflegalize_dffsr.ys -Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff1 +[20]Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. +[21][33]Passed techmap-dfflegalize_dff.ys +[22]elab_sys_tasks.sv:8: Warning: X is 1. +elab_sys_tasks.sv:22: Warning: +Passed various-elab_sys_tasks.ys +[34]Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. +[23]Checking symbols.aig. +[35][24]Test: issue00335 -> ok +[25][26]Test: macro_arg_spaces -> ok +[1][36][37]Test: issue00710 -> ok +Checking toggle-re.aig. +[38][39]Passed verilog-genvar_loop_decl_1.ys +[40][41]Test: read_arst -> ok +Checking toggle.aig. +[42]Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +[43]Warning: Complex async reset for dff `\Q'. +[44][45]Checking true.aig. +[46]Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +Warning: Complex async reset for dff `\Q'. +[47]Test: memwr_port_connection -> ok +Running neg.ys. +[48]Warning: Whitebox '$paramod\FDRE\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. +Warning: Whitebox 'FDSE' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. +Warning: Whitebox '$paramod\FDRE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. +Warning: Whitebox '$paramod\FDSE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. +[49]...passed tests in tests/aiger +[2][50]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +[51]Test: read_two_mux -> ok +KTest: code_hdl_models_decoder_2to4_gates -> ok +cd tests/bram && bash run-test.sh "" +generating tests.. +[52]Passed various-equiv_make_make_assert.ys +[53][54]Test: aes_kexp128 -> ok +[55]PRNG seed: 996110 +[56][57]running tests.. +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/bram' +[58]K[59]Test: unnamed_block_decl -> ok +[60][61][62][63][64][65][66][67][68]Test: code_hdl_models_decoder_using_assign -> ok +[69][70][71][72][73]Test: test_intermout -> ok +cd tests/svinterfaces && bash run-test.sh "" +[74]Passed techmap-dfflegalize_dff_init.ys +[75]Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff1 Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff2 Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff3 Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff4 @@ -59673,9 +59821,37 @@ Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff0 Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff0 Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff0 -Test: issue00335 -> ok -Test: asgn_binop -> ok -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff1 [$_DFFSRE_PPPN_]. +[76][77]Test: svinterface1 -> Test: aes_kexp128 -> ok +Test: code_hdl_models_decoder_using_case -> ok +[3][78][79]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +KPassed memory_bram test 00_01. +Test: shared_ports -> ok +[80][81][82][83][84]Test: simple_sram_byte_en -> ok +[85]Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DIADI from 64 bits to 16 bits. +Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOADO from 64 bits to 16 bits. +Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. +Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. +Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. +Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.WEA from 4 bits to 2 bits. +[86][87][88]Warning: Selection "asym_ram_sdp_read_wider" did not match any module. +[89][90]K[4]Test: abc9 -> ok +[5][91][92]Passed xilinx-adffs.ys +cd tests/xprop && bash run-test.sh "" +Test: always01 -> ok +[6]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +[93]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +[94]Test: always01 -> ok +[95]Warning: Resizing cell port pipeline.$mul$< ok +[97]Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff1 [$_DFFSRE_PPPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff2 [$_DFFSRE_PPNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff3 [$_DFFSRE_PNPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff4 [$_DFFSRE_NPPP_]. @@ -59693,6 +59870,48 @@ Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff3 [$_DFFSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff0 [$_DFFSRE_PPPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff0 [$_DFFSR_PPP_]. +[98][99] +...passed tests in tests/share +Passed ice40-bug1644.ys +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +svinterface1_tb.v:50: $finish called at 420000 (10ps) +Passed memory_bram test 00_02. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2663: Warning: Range [35:0] select out of bounds on signal `\PORT_A1_RDATA': Setting 18 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2709: Warning: Range [35:0] select out of bounds on signal `\PORT_B1_RDATA': Setting 18 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2578: Warning: Range [4:1] select out of bounds on signal `\PORT_A1_WR_BE': Setting 3 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2578: Warning: Ignoring assignment to constant bits: + old assignment: { 3'x \PORT_A1_WR_BE [1] } = 4'0000 + new assignment: \PORT_A1_WR_BE [1] = 1'0. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2579: Warning: Range [3:0] select out of bounds on signal `\PORT_A1_WR_BE': Setting 2 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2579: Warning: Ignoring assignment to constant bits: + old assignment: { 2'x \PORT_A1_WR_BE } = \PORT_A1_WR_BE_i + new assignment: \PORT_A1_WR_BE = \PORT_A1_WR_BE_i [1:0]. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2588: Warning: Range [4:1] select out of bounds on signal `\PORT_B1_WR_BE': Setting 3 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2588: Warning: Ignoring assignment to constant bits: + old assignment: { 3'x \PORT_B1_WR_BE [1] } = 4'0000 + new assignment: \PORT_B1_WR_BE [1] = 1'0. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2589: Warning: Range [3:0] select out of bounds on signal `\PORT_B1_WR_BE': Setting 2 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2589: Warning: Ignoring assignment to constant bits: + old assignment: { 2'x \PORT_B1_WR_BE } = \PORT_B1_WR_BE_i + new assignment: \PORT_B1_WR_BE = \PORT_B1_WR_BE_i [1:0]. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2635: Warning: Range [36:17] select out of bounds on signal `\PORT_A1_WDATA': Setting 19 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2635: Warning: Ignoring assignment to constant bits: + old assignment: { 19'x \PORT_A1_WDATA [17] } = 20'00000000000000000000 + new assignment: \PORT_A1_WDATA [17] = 1'0. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2636: Warning: Range [35:0] select out of bounds on signal `\PORT_A1_WDATA': Setting 18 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2636: Warning: Ignoring assignment to constant bits: + old assignment: { 18'x \PORT_A1_WDATA } = \PORT_A1_WR_DATA_i + new assignment: \PORT_A1_WDATA = \PORT_A1_WR_DATA_i [17:0]. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2681: Warning: Range [36:17] select out of bounds on signal `\PORT_B1_WDATA': Setting 19 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2681: Warning: Ignoring assignment to constant bits: + old assignment: { 19'x \PORT_B1_WDATA [17] } = 20'00000000000000000000 + new assignment: \PORT_B1_WDATA [17] = 1'0. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2682: Warning: Range [35:0] select out of bounds on signal `\PORT_B1_WDATA': Setting 18 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2682: Warning: Ignoring assignment to constant bits: + old assignment: { 18'x \PORT_B1_WDATA } = \PORT_B1_WR_DATA_i + new assignment: \PORT_B1_WDATA = \PORT_B1_WR_DATA_i [17:0]. Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff4 Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff3 Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff2 @@ -59711,7 +59930,8 @@ Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff2 Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff1 Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff0 -Test: case_expr_extend -> ok +svinterface1_tb.v:50: $finish called at 420000 (10ps) +ok Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff4 [$_DFFSRE_NPPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff3 [$_DFFSRE_PNPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff2 [$_DFFSRE_PPNP_]. @@ -59730,19 +59950,20 @@ Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff2 [$_DFFSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff1 [$_DFFSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff0 [$_DFFSR_PPP_]. -Passed techmap-dfflegalize_dffsr_init.ys -Warning: Whitebox '$paramod\FDRE\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. -Warning: Whitebox 'FDSE' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. +Test: svinterface_at_top -> Passed techmap-dfflegalize_dffsr_init.ys Passed techmap-dfflegalize_dlatch.ys -Warning: Whitebox '$paramod\FDRE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. -Warning: Whitebox '$paramod\FDSE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. -Test: abc9 -> ok +Test: always02 -> ok +Passed nanoxplore-lutram.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/nanoxplore' +...passed tests in tests/arch/nanoxplore Passed techmap-dfflegalize_dlatch_const.ys +KPassed verilog-genvar_loop_decl_2.ys Passed techmap-dfflegalize_dlatch_init.ys Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff3 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff2 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff1 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff0 +Warning: Shift register inference not yet supported for family xc3se. Passed techmap-dfflegalize_dlatchsr.ys Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff1 Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff2 @@ -59752,11 +59973,12 @@ Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff3 Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff0 Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff0 -Test: case_expr_query -> ok -Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff1 [$_DLATCHSR_PPN_]. +xprop PRNG seed: 1359049932 +KWarning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff1 [$_DLATCHSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff2 [$_DLATCHSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff3 [$_DLATCHSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff0 [$_DLATCHSR_PPP_]. +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/xprop' Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff1 [$_DLATCHSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff2 [$_DLATCHSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff3 [$_DLATCHSR_NPP_]. @@ -59769,32 +59991,56 @@ Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff2 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff1 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff0 -Test: aes_kexp128 -> ok Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff3 [$_DLATCHSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff2 [$_DLATCHSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff1 [$_DLATCHSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff0 [$_DLATCHSR_PPP_]. +Passed xilinx-logic.ys Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff3 [$_DLATCHSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff2 [$_DLATCHSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff1 [$_DLATCHSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff0 [$_DLATCHSR_PPP_]. +cd tests/select && bash run-test.sh "" Passed techmap-dfflegalize_dlatchsr_init.ys -Passed nexus-adffs.ys -Test: issue00710 -> ok -Test: always01 -> ok +Test: always03 -> ok +KRunning blackboxes.ys.. +Test: always03 -> ok +Running list_mod.ys.. +Test: test_simulation_nand -> ok +Running mod-attribute.ys.. +Test: test_simulation_nor -> ok +Running no_warn_assert.ys.. +Test: test_simulation_mux -> ok +Running no_warn_prefixed_arg_memb.ys.. +Running no_warn_prefixed_empty_select_arg.ys.. +Test: trans_addr_enable -> ok +Running unset.ys.. Passed techmap-dfflegalize_inv.ys +ERROR: Selection '\foo' does not exist! +Expected error pattern 'Selection '\\foo' does not exist!' found !!! +Running unset2.ys.. +ERROR: Selection @foo is not defined! +Expected error pattern 'Selection @foo is not defined!' found !!! +Passed ice40-dpram.ys +Passed ice40-ice40_wrapcarry.ys +Passed xilinx-dsp_simd.ys Passed techmap-dfflegalize_mince.ys -Test: defvalue -> ok +Test: arraycells -> ok +Running warn_empty_select_arg.ys.. +Warning: Selection "foo" did not match any module. +Warning: Selection "bar" did not match any object. Passed techmap-dfflegalize_minsrst.ys -Passed techmap-dfflegalize_sr.ys +Passed memory_bram test 00_04. +...passed tests in tests/select Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff1 [$_SR_PN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff2 [$_SR_NP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff0 [$_SR_PP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff1 [$_SR_PN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff2 [$_SR_NP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff0 [$_SR_PP_]. -Test: always02 -> ok -Test: no_implicit_en -> ok +Passed techmap-dfflegalize_sr.ys +cd tests/proc && bash run-test.sh "" +Running bug2619.ys.. Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff2 [$_SR_NP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff1 [$_SR_PN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff0 [$_SR_PP_]. @@ -59802,362 +60048,137 @@ Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff1 [$_SR_PN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff0 [$_SR_PP_]. Passed techmap-dfflegalize_sr_init.ys +Running bug2656.ys.. +Warning: wire '\q1' is assigned in a block at < ok -Test: implicit_ports -> ok -Passed nexus-blockram.ys -Passed techmap-dffunmap.ys +Test: arraycells -> ok +ERROR! +Running clean_undef_case.ys.. +Test: load_and_derive ->Passed techmap-dffunmap.ys +xprop_not_3s_5: ok +xprop_not_3s_5: ok +ok Passed techmap-extractinv.ys +Test: resolve_types ->Running proc_dff.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (< ok -Test: arraycells -> ok -Warning: Complex async reset for dff `\Q'. -Test: local_loop_var -> ok Test: arrays01 -> ok -Test: read_arst -> ok -Passed techmap-shiftx2mux.ys +Running proc_rom.ys.. +Warning: wire '\d' is assigned in a block at < ok +Warning: wire '\d' is assigned in a block at < ok -Test: macro_arg_spaces -> ok -Passed techmap-han-carlson.tcl -Passed qlf_k6n10f-logic.ys -Test: matching_end_labels -> ok -Test: attrib02_port_decl -> ok -Passed techmap-kogge-stone.tcl -Test: memwr_port_connection -> ok -Passed techmap-sklansky.tcl -Passed nexus-counter.ys -Test: read_two_mux -> ok -Test: attrib03_parameter -> ok -Test: unnamed_block_decl -> ok +Passed memory_bram test 01_00. +[8]KWarning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. +Test: test_simulation_or -> ok Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Passed techmap-mem_simple_4x1_runtest.sh -Passed techmap-recursive_runtest.sh -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/techmap' -...passed tests in tests/techmap -cd tests/hana && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/hana' -Test: attrib04_net_var -> ok -Test: simple_sram_byte_en -> ok -Test: aes_kexp128 -> ok -Test: shared_ports -> ok -Test: attrib06_operator_suffix -> ok -Test: attrib08_mod_inst -> ok -Test: always01 -> ok -Passed nanoxplore-fsm.ys -Test: attrib09_case -> ok -Test: always02 -> ok -Test: trans_addr_enable -> ok -Test: trans_sdp -> ok -Test: carryadd -> ok -Test: always03 -> ok -Test: case_expr_const -> ok -Test: arraycells -> ok -Test: case_expr_non_const -> ok -Test: arrays01 -> ok -Passed nexus-dffs.ys Test: attrib01_module -> ok -Test: attrib02_port_decl -> ok Test: trans_sp -> ok -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2663: Warning: Range [35:0] select out of bounds on signal `\PORT_A1_RDATA': Setting 18 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2709: Warning: Range [35:0] select out of bounds on signal `\PORT_B1_RDATA': Setting 18 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2578: Warning: Range [4:1] select out of bounds on signal `\PORT_A1_WR_BE': Setting 3 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2578: Warning: Ignoring assignment to constant bits: - old assignment: { 3'x \PORT_A1_WR_BE [1] } = 4'0000 - new assignment: \PORT_A1_WR_BE [1] = 1'0. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2579: Warning: Range [3:0] select out of bounds on signal `\PORT_A1_WR_BE': Setting 2 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2579: Warning: Ignoring assignment to constant bits: - old assignment: { 2'x \PORT_A1_WR_BE } = \PORT_A1_WR_BE_i - new assignment: \PORT_A1_WR_BE = \PORT_A1_WR_BE_i [1:0]. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2588: Warning: Range [4:1] select out of bounds on signal `\PORT_B1_WR_BE': Setting 3 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2588: Warning: Ignoring assignment to constant bits: - old assignment: { 3'x \PORT_B1_WR_BE [1] } = 4'0000 - new assignment: \PORT_B1_WR_BE [1] = 1'0. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2589: Warning: Range [3:0] select out of bounds on signal `\PORT_B1_WR_BE': Setting 2 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2589: Warning: Ignoring assignment to constant bits: - old assignment: { 2'x \PORT_B1_WR_BE } = \PORT_B1_WR_BE_i - new assignment: \PORT_B1_WR_BE = \PORT_B1_WR_BE_i [1:0]. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2635: Warning: Range [36:17] select out of bounds on signal `\PORT_A1_WDATA': Setting 19 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2635: Warning: Ignoring assignment to constant bits: - old assignment: { 19'x \PORT_A1_WDATA [17] } = 20'00000000000000000000 - new assignment: \PORT_A1_WDATA [17] = 1'0. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2636: Warning: Range [35:0] select out of bounds on signal `\PORT_A1_WDATA': Setting 18 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2636: Warning: Ignoring assignment to constant bits: - old assignment: { 18'x \PORT_A1_WDATA } = \PORT_A1_WR_DATA_i - new assignment: \PORT_A1_WDATA = \PORT_A1_WR_DATA_i [17:0]. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2681: Warning: Range [36:17] select out of bounds on signal `\PORT_B1_WDATA': Setting 19 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2681: Warning: Ignoring assignment to constant bits: - old assignment: { 19'x \PORT_B1_WDATA [17] } = 20'00000000000000000000 - new assignment: \PORT_B1_WDATA [17] = 1'0. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2682: Warning: Range [35:0] select out of bounds on signal `\PORT_B1_WDATA': Setting 18 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/quicklogic/qlf_k6n10f/brams_sim.v:2682: Warning: Ignoring assignment to constant bits: - old assignment: { 18'x \PORT_B1_WDATA } = \PORT_B1_WR_DATA_i - new assignment: \PORT_B1_WDATA = \PORT_B1_WR_DATA_i [17:0]. -Test: attrib03_parameter -> ok -Test: attrib04_net_var -> ok -Test: test_intermout -> ok -Test: attrib06_operator_suffix -> ok -Test: test_parse2synthtrans -> ok -Test: attrib08_mod_inst -> ok -Test: wide_all -> ok -Passed nanoxplore-add_sub.ys -Test: attrib09_case -> ok -Test: test_parser -> ok -Test: carryadd -> ok -Test: wide_read_async -> ok -Test: case_expr_const -> ok -Test: case_expr_non_const -> ok -Passed nexus-fsm.ys -Passed verilog-func_upto.ys -< ok -Passed verilog-genvar_loop_decl_2.ys -Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:13.12-13.21. -Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:27.12-27.21. -Passed verilog-genvar_loop_decl_3.ys -< ok -Warning: Complex async reset for dff `\Q'. -Passed verilog-int_types.ys -< ok -Passed verilog-param_no_default.ys -< ok -Passed verilog-sign_array_query.ys -Test: test_simulation_buffer -> ok -Passed verilog-size_cast.ys -Passed verilog-struct_access.ys -< ok -Passed verilog-void_func.ys -Warning: wire '\wire_1' is assigned in a block at wire_and_var.sv:21.41-21.51. -Warning: reg '\reg_2' is assigned in a continuous assignment at wire_and_var.sv:22.57-22.66. -Warning: reg '\var_reg_2' is assigned in a continuous assignment at wire_and_var.sv:26.77-26.90. -Warning: wire '\wire_logic_1' is assigned in a block at wire_and_var.sv:30.65-30.81. -Warning: wire '\wire_integer_1' is assigned in a block at wire_and_var.sv:31.73-31.91. -Passed verilog-wire_and_var.ys -Test: wide_read_trans -> ok -Test: test_simulation_decoder -> ok -Test: code_hdl_models_arbiter -> ok -Warning: Drivers conflicting with a constant 1'0 driver: - module input PORT_A1_WR_BE_i[1] - module input PORT_A1_WR_DATA_i[17] - module input PORT_B1_WR_BE_i[1] - module input PORT_B1_WR_DATA_i[17] -Test: wide_thru_priority -> ok -Test: test_simulation_inc -> ok -Passed xilinx-adffs.ys -Test: wide_write -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/memories' -Testing expectations for amber23_sram_byte_en.v .. ok. -Testing expectations for implicit_en.v .. ok. -Testing expectations for issue00335.v .. ok. -Testing expectations for issue00710.v .. ok. -Testing expectations for no_implicit_en.v ..Test: case_large -> ok -Test: test_simulation_mux -> ok - ok. -Testing expectations for read_arst.v .. ok. -Testing expectations for read_two_mux.v ..Test: const_branch_finish -> ok - ok. -Testing expectations for shared_ports.v .. ok. -Testing expectations for simple_sram_byte_en.v ..Passed qlf_k6n10f-ioff.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. - ok. -Testing expectations for trans_addr_enable.v .. ok. -Testing expectations for trans_sdp.v ..Test: const_fold_func -> ok -Test: test_simulation_nand -> ok +Test: test_simulation_seq -> ok +Test: arrays01 -> ok +Passed techmap-wireinit.ys +Test: code_hdl_models_dff_sync_reset -> ok +Warning: Complex async reset for dff `\Q'. +Passed techmap-shiftx2mux.ys +Passed techmap-zinit.ys Warning: Complex async reset for dff `\Q'. - ok. -Testing expectations for trans_sp.v ..Passed nanoxplore-dffs.ys - ok. -Testing expectations for wide_all.v ..Passed nanoxplore-logic.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. - ok. -Testing expectations for wide_read_async.v .. ok. -Testing expectations for wide_read_mixed.v .. ok. -Testing expectations for wide_read_sync.v ..Warning: Complex async reset for dff `\Q'. -Test: test_simulation_nor -> ok - ok. -Testing expectations for wide_read_trans.v ..Test: const_func_shadow -> ok - ok. -Testing expectations for wide_thru_priority.v .. ok. -Testing expectations for wide_write.v .. ok. -...passed tests in tests/memories -cd tests/share && bash run-test.sh "" -generating tests.. -running tests.. -[0][1][2][3][4]Passed qlf_k6n10f-meminit.ys -[5]Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -[6][7]Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEA from 4 bits to 2 bits. -[8]Test: test_simulation_or -> ok -[9][10][11][12]Warning: Complex async reset for dff `\Q'. -[13][14][15][16][17][18][19][20][21][22]Test: test_simulation_seq -> ok -[23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49]Test: constmuldivmod -> ok -[50][51][52][53][54][55][56][57][58][59][60][61][62][63]Test: constpower -> ok -[64][65][66][67][68]Test: code_hdl_models_cam -> ok -[69][70][71][72][73][74][75][76][77][78][79][80][81]Test: code_hdl_models_clk_div -> ok -[82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97]Test: code_hdl_models_clk_div_45 -> ok -[98][99] -...passed tests in tests/share -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Test: dff_different_styles -> ok -Test: code_hdl_models_d_ff_gates -> ok -Test: test_simulation_shifter -> ok Warning: Complex async reset for dff `\Q'. +Test: trans_sdp -> ok +Test: attrib02_port_decl -> ok +[9]Test: attrib01_module -> ok Passed qlf_k6n10f-mux.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Test: code_hdl_models_d_latch_gates -> ok +Passed nexus-mul.ys Warning: Complex async reset for dff `\Q'. -Test: code_hdl_models_decoder_2to4_gates -> ok -Test: dff_init -> ok -Test: test_simulation_sop -> ok -Passed nexus-lutram.ys -Test: code_hdl_models_decoder_using_assign -> ok -Warning: Wire TB.\rq_b [35] is used but has no driver. +Test: attrib02_port_decl -> ok +Passed memory_bram test 01_02. +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Warning: Resizing cell port TB.uut.data_out from 8 bits to 32 bits. +Warning: Resizing cell port TB.uut.address_in_r from 10 bits to 8 bits. +make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/memlib' +xprop_and_1u1_1: ok +xprop_and_1u1_1: ok +xprop_and_1s1_2: ok +xprop_and_1s1_2: ok +[10]Warning: Wire TB.\rq_b [35] is used but has no driver. Warning: Wire TB.\rq_b [34] is used but has no driver. Warning: Wire TB.\rq_b [33] is used but has no driver. Warning: Wire TB.\rq_b [32] is used but has no driver. @@ -60193,19 +60214,30 @@ Warning: Wire TB.\rq_b [2] is used but has no driver. Warning: Wire TB.\rq_b [1] is used but has no driver. Warning: Wire TB.\rq_b [0] is used but has no driver. -Warning: Resizing cell port TB.uut.data_out from 8 bits to 32 bits. -Warning: Resizing cell port TB.uut.address_in_r from 10 bits to 8 bits. -Test: code_hdl_models_decoder_using_case -> ok -Passed gowin-lutram.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/gowin' -...passed tests in tests/arch/gowin -cd tests/opt_share && bash run-test.sh "" -generating tests.. -Test: code_hdl_models_dff_async_reset -> ok -Test: test_simulation_techmap -> ok -running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/opt_share' -[0]Warning: Wire TB.$auto$wreduce.cc:513:run$18098 [35] is used but has no driver. +Passed techmap-han-carlson.tcl +Passed techmap-kogge-stone.tcl +KPassed techmap-sklansky.tcl +xprop_and_2u2_2: ok +xprop_and_2u2_2: ok +Passed ice40-latches.ys +< ok +Test: code_hdl_models_encoder_4to2_gates -> ok +Warning: Yosys has only limited support for tri-state logic at the moment. (/build/reproducible-path/yosys-0.51/share/simcells.v:476) +Test: attrib03_parameter -> ok +Warning: Wire TB.\rq_b [7] is used but has no driver. +Warning: Wire TB.\rq_b [6] is used but has no driver. +Warning: Wire TB.\rq_b [5] is used but has no driver. +Warning: Wire TB.\rq_b [4] is used but has no driver. +Warning: Wire TB.\rq_b [3] is used but has no driver. +Warning: Wire TB.\rq_b [2] is used but has no driver. +Warning: Wire TB.\rq_b [1] is used but has no driver. +Warning: Wire TB.\rq_b [0] is used but has no driver. +Passed various-equiv_opt_multiclock.ys +KWarning: Wire TB.$auto$wreduce.cc:513:run$18098 [35] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:513:run$18098 [34] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:513:run$18098 [33] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:513:run$18098 [32] is used but has no driver. @@ -60234,1113 +60266,174 @@ Warning: Wire TB.$auto$wreduce.cc:513:run$18098 [9] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:513:run$18098 [8] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:513:run$18098 [7] is used but has no driver. -[1][2]Warning: Wire TB.\rq_b [7] is used but has no driver. -Warning: Wire TB.\rq_b [6] is used but has no driver. -Warning: Wire TB.\rq_b [5] is used but has no driver. -Warning: Wire TB.\rq_b [4] is used but has no driver. -Warning: Wire TB.\rq_b [3] is used but has no driver. -Warning: Wire TB.\rq_b [2] is used but has no driver. -Warning: Wire TB.\rq_b [1] is used but has no driver. -Warning: Wire TB.\rq_b [0] is used but has no driver. -[3]Test: code_hdl_models_dff_sync_reset -> ok -[4][5][6][7][8][9][10][11]Test: dynslice -> ok -[12]Passed nexus-mul.ys -[13]Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -[14]Test: code_hdl_models_encoder_4to2_gates -> ok -[15][16][17][18][19][20][21][22]Test: fiedler-cooley -> ok -[23][24]Warning: Complex async reset for dff `\Q'. -[25][26][27]Test: code_hdl_models_encoder_using_case -> ok -Test: case_large -> ok -Test: forgen01 -> ok -Test: const_branch_finish -> ok -Test: code_hdl_models_encoder_using_if -> ok -Test: const_fold_func -> ok -Test: forgen02 -> ok -Test: code_hdl_models_full_adder_gates -> ok -Test: code_hdl_models_full_subtracter_gates -> ok -Test: forloops -> ok -Test: const_func_shadow -> ok -Passed xilinx-abc9_dff.ys -Test: code_hdl_models_gray_counter -> ok -Test: test_simulation_techmap_tech -> ok -Test: fsm -> ok -Test: code_hdl_models_half_adder_gates -> ok -[28][29][30][31]Test: test_simulation_vlib -> ok -[32][33]Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEBWE from 1 bits to 4 bits. -[34][35][36][37][38][39][40][41]Test: code_hdl_models_lfsr -> ok -[42][43][44][45][46]Test: func_block -> ok -[47][48]Test: test_simulation_xnor -> ok -[49][50][51][52]Test: code_hdl_models_lfsr_updown -> ok -[53][54][55][56][57]Test: func_recurse -> ok -[58][59]Test: code_hdl_models_mux_2to1_gates -> ok -[60][61][62][63]Test: test_simulation_xor -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/hana' -...passed tests in tests/hana -cd tests/fsm && bash run-test.sh "" -generating tests.. -[64]PRNG seed: 22940574619703587 -[65][66][67][68]running tests.. -[69]make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/fsm' -[0][70]Test: code_hdl_models_mux_using_assign -> ok -Test: func_width_scope -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: genblk_collide -> ok -Test: code_hdl_models_mux_using_case -> ok -Test: constmuldivmod -> ok -Test: genblk_dive -> ok -Test: code_hdl_models_mux_using_if -> ok -Test: constpower -> ok -Test: genblk_order -> ok -Test: genblk_port_shadow -> ok -Test: code_hdl_models_one_hot_cnt -> ok -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[0] --> Q[0] - wire \dword [0] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[0] --> Y[0] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[0] --> Y[0] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[1] --> Q[1] - wire \dword [1] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[1] --> Y[1] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[1] --> Y[1] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[2] --> Q[2] - wire \dword [2] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[2] --> Y[2] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[2] --> Y[2] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[3] --> Q[3] - wire \dword [3] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[3] --> Y[3] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[3] --> Y[3] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[4] --> Q[4] - wire \dword [4] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[4] --> Y[4] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[4] --> Y[4] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[5] --> Q[5] - wire \dword [5] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[5] --> Y[5] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[5] --> Y[5] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[6] --> Q[6] - wire \dword [6] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[6] --> Y[6] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[6] --> Y[6] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[7] --> Q[7] - wire \dword [7] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[7] --> Y[7] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[7] --> Y[7] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[8] --> Q[8] - wire \dword [8] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[8] --> Y[8] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[8] --> Y[8] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[9] --> Q[9] - wire \dword [9] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[9] --> Y[9] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[9] --> Y[9] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[10] --> Q[10] - wire \dword [10] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[10] --> Y[10] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[10] --> Y[10] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[11] --> Q[11] - wire \dword [11] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[11] --> Y[11] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[11] --> Y[11] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[12] --> Q[12] - wire \dword [12] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[12] --> Y[12] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[12] --> Y[12] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[13] --> Q[13] - wire \dword [13] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[13] --> Y[13] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[13] --> Y[13] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[14] --> Q[14] - wire \dword [14] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[14] --> Y[14] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[14] --> Y[14] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[15] --> Q[15] - wire \dword [15] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[15] --> Y[15] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[15] --> Y[15] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[16] --> Q[16] - wire \dword [16] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[16] --> Y[16] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[16] --> Y[16] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[17] --> Q[17] - wire \dword [17] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[17] --> Y[17] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[17] --> Y[17] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[18] --> Q[18] - wire \dword [18] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[18] --> Y[18] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[18] --> Y[18] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[19] --> Q[19] - wire \dword [19] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[19] --> Y[19] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[19] --> Y[19] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[20] --> Q[20] - wire \dword [20] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[20] --> Y[20] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[20] --> Y[20] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[21] --> Q[21] - wire \dword [21] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[21] --> Y[21] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[21] --> Y[21] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[22] --> Q[22] - wire \dword [22] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[22] --> Y[22] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[22] --> Y[22] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[23] --> Q[23] - wire \dword [23] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[23] --> Y[23] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[23] --> Y[23] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[24] --> Q[24] - wire \dword [24] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[24] --> Y[24] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[24] --> Y[24] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[25] --> Q[25] - wire \dword [25] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[25] --> Y[25] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[25] --> Y[25] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[26] --> Q[26] - wire \dword [26] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[26] --> Y[26] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[26] --> Y[26] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[27] --> Q[27] - wire \dword [27] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[27] --> Y[27] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[27] --> Y[27] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[28] --> Q[28] - wire \dword [28] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[28] --> Y[28] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[28] --> Y[28] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[29] --> Q[29] - wire \dword [29] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[29] --> Y[29] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[29] --> Y[29] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[30] --> Q[30] - wire \dword [30] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[30] --> Y[30] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[30] --> Y[30] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[31] --> Q[31] - wire \dword [31] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[31] --> Y[31] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[31] --> Y[31] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[32] --> Q[32] - wire \dword [32] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[32] --> Y[32] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[32] --> Y[32] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[33] --> Q[33] - wire \dword [33] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[33] --> Y[33] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[33] --> Y[33] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[34] --> Q[34] - wire \dword [34] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[34] --> Y[34] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[34] --> Y[34] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[35] --> Q[35] - wire \dword [35] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[35] --> Y[35] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[35] --> Y[35] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[36] --> Q[36] - wire \dword [36] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[36] --> Y[36] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[36] --> Y[36] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[37] --> Q[37] - wire \dword [37] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[37] --> Y[37] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[37] --> Y[37] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[38] --> Q[38] - wire \dword [38] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[38] --> Y[38] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[38] --> Y[38] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[39] --> Q[39] - wire \dword [39] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[39] --> Y[39] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[39] --> Y[39] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[40] --> Q[40] - wire \dword [40] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[40] --> Y[40] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[40] --> Y[40] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[41] --> Q[41] - wire \dword [41] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[41] --> Y[41] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[41] --> Y[41] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[42] --> Q[42] - wire \dword [42] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[42] --> Y[42] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[42] --> Y[42] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[43] --> Q[43] - wire \dword [43] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[43] --> Y[43] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[43] --> Y[43] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[44] --> Q[44] - wire \dword [44] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[44] --> Y[44] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[44] --> Y[44] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[45] --> Q[45] - wire \dword [45] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[45] --> Y[45] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[45] --> Y[45] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[46] --> Q[46] - wire \dword [46] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[46] --> Y[46] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[46] --> Y[46] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[47] --> Q[47] - wire \dword [47] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[47] --> Y[47] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[47] --> Y[47] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[48] --> Q[48] - wire \dword [48] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[48] --> Y[48] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[48] --> Y[48] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[49] --> Q[49] - wire \dword [49] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[49] --> Y[49] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[49] --> Y[49] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[50] --> Q[50] - wire \dword [50] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[50] --> Y[50] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[50] --> Y[50] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[51] --> Q[51] - wire \dword [51] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[51] --> Y[51] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[51] --> Y[51] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[52] --> Q[52] - wire \dword [52] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[52] --> Y[52] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[52] --> Y[52] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[53] --> Q[53] - wire \dword [53] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[53] --> Y[53] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[53] --> Y[53] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[54] --> Q[54] - wire \dword [54] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[54] --> Y[54] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[54] --> Y[54] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[55] --> Q[55] - wire \dword [55] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[55] --> Y[55] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[55] --> Y[55] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[56] --> Q[56] - wire \dword [56] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[56] --> Y[56] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[56] --> Y[56] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[57] --> Q[57] - wire \dword [57] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[57] --> Y[57] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[57] --> Y[57] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[58] --> Q[58] - wire \dword [58] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[58] --> Y[58] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[58] --> Y[58] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[59] --> Q[59] - wire \dword [59] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[59] --> Y[59] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[59] --> Y[59] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[60] --> Q[60] - wire \dword [60] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[60] --> Y[60] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[60] --> Y[60] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[61] --> Q[61] - wire \dword [61] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[61] --> Y[61] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[61] --> Y[61] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[62] --> Q[62] - wire \dword [62] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[62] --> Y[62] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[62] --> Y[62] -Warning: found logic loop in module latch_002_gate: - cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 - D[63] --> Q[63] - wire \dword [63] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 - cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 - A[63] --> Y[63] - cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 - A[63] --> Y[63] -Test: dff_different_styles -> ok -Test: code_hdl_models_parallel_crc -> ok -Test: code_hdl_models_parity_using_assign -> ok -Test: dff_init -> ok -Test: code_hdl_models_parity_using_bitwise -> ok -Passed ice40-memories.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/ice40' -...passed tests in tests/arch/ice40 -Passed various-fib_tern.ys -Passed various-func_port_implied_dir.ys -Passed various-gen_if_null.ys -Test: code_hdl_models_parity_using_function -> ok -Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits. -Test: graphtest -> ok -K[1]Test: hierarchy -> ok -Test: code_hdl_models_pri_encoder_using_assign -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_hdl_models_rom_using_case -> ok -Test: hierdefparam -> ok -[2]Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.WEA from 4 bits to 2 bits. -Warning: Selection "asym_ram_sdp_read_wider" did not match any module. -Test: code_hdl_models_serial_crc -> ok -Test: generate -> ok -Test: code_hdl_models_tff_async_reset -> ok -Test: code_hdl_models_tff_sync_reset -> ok -Test: i2c_master_tests -> ok -Test: ifdef_1 -> ok -Test: ifdef_2 -> ok -Test: localparam_attr -> ok -K[3]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_hdl_models_uart -> ok -Passed various-dynamic_part_select.ys -Passed various-global_scope.ys -Test: loop_prefix_case -> ok -Passed various-gzip_verilog.ys -Passed various-help.ys -Passed various-hierarchy_defer.ys -Passed various-hierarchy_generate.ys -Passed various-hierarchy_param.ys -K[4]Test: loop_var_shadow -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_hdl_models_up_counter -> ok -Passed various-ice40_mince_abc9.ys -< ok -Passed various-logger_warn.ys -< ok -Passed various-memory_word_as_index.ys -Warning: Yosys has only limited support for tri-state logic at the moment. (/build/reproducible-path/yosys-0.51/share/simcells.v:476) -Test: macro_arg_surrounding_spaces -> ok -Passed nanoxplore-latches.ys -[5][71]Test: code_hdl_models_up_down_counter -> ok -Test: macros -> ok -[72]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -[73][74]K[6][75][76][77][78][79]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -[80][81][82][83][84][85]K[7][86][87][88]Passed various-muxcover.ys -KTest: code_specman_switch_fabric -> ok -Test: code_tidbits_asyn_reset -> ok -Passed nexus-mux.ys -Passed various-muxpack.ys -Passed various-param_struct.ys -K[8]Passed various-peepopt.ys -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Passed various-peepopt_formal.ys -Test: mem2reg -> ok -Test: code_tidbits_blocking -> ok -K[9]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: mem2reg_bounds_tern -> ok -Test: code_tidbits_fsm_using_always -> ok -K[10]Passed nanoxplore-adffs.ys -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[11]K[12]Test: code_tidbits_fsm_using_function -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -[89][90]K[13][91][92][93]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_tidbits_fsm_using_single_always -> ok -Passed verilog-dynamic_range_lhs.sh -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/verilog' -...passed tests in tests/verilog -cd tests/memlib && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/memlib' -Test: mem_arst -> ok -Test: code_tidbits_nonblocking -> ok -K[14]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_tidbits_reg_combo_example -> ok -[94][95][96]Passed nexus-shifter.ys -[97][98][99]make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/opt_share' - -...passed tests in tests/opt_share -cd tests/bram && bash run-test.sh "" -generating tests.. -Test: code_tidbits_reg_seq_example -> ok -PRNG seed: 869199 -running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/bram' -Test: code_tidbits_syn_reset -> ok -Test: code_tidbits_wire_example -> ok -Test: dynslice -> ok -Passed various-pmgen_reduce.ys -Passed various-pmux2shiftx.ys -Warning: Resizing cell port act.ou2.out from 3 bits to 2 bits. -Warning: Resizing cell port act.os2.out from 3 bits to 2 bits. -Warning: Resizing cell port act.ou1.out from 3 bits to 1 bits. -Warning: Resizing cell port act.os1.out from 3 bits to 1 bits. -Warning: Resizing cell port act.pt9.a from 3 bits to 4 bits. -Warning: Resizing cell port act.pt7.a from 3 bits to 4 bits. -Warning: Resizing cell port act.pt6.a from 3 bits to 4 bits. -Warning: Resizing cell port act.pt5.a from 2 bits to 4 bits. -Warning: Resizing cell port act.pt4.a from 1 bits to 4 bits. -Warning: Resizing cell port act.pt3.a from 1 bits to 4 bits. -Warning: Resizing cell port act.pt2.a from 1 bits to 4 bits. -Passed various-port_sign_extend.ys -Passed various-primitives.ys -Passed various-printattr.ys -Passed various-rand_const.ys -Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26.9-26.21. -Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29.3-29.18. -Warning: reg '\l_reg' is assigned in a continuous assignment at reg_wire_error.sv:35.8-35.22. -Warning: wire '\mw2' is assigned in a block at reg_wire_error.sv:62.3-62.16. -Warning: wire '\mw3' is assigned in a block at reg_wire_error.sv:69.3-69.17. -Warning: Replacing memory \ml3 with list of registers. See reg_wire_error.sv:70 -Warning: Replacing memory \mr3 with list of registers. See reg_wire_error.sv:68 -Warning: Replacing memory \ml2 with list of registers. See reg_wire_error.sv:63 -Warning: Replacing memory \mr2 with list of registers. See reg_wire_error.sv:61 -Warning: Replacing memory \ml1 with list of registers. See reg_wire_error.sv:58 -Test: t_async_big -> ok -Passed various-reg_wire_error.ys -Passed various-rename_scramble_name.ys -KPassed various-rtlil_signed_attribute.ys -Passed various-rtlil_z_bits.ys -Test: code_verilog_tutorial_addbit -> ok -Passed various-scopeinfo.ys -Passed various-scratchpad.ys -Passed various-script.ys -Passed various-sformatf.ys -Test: fiedler-cooley -> ok -Passed various-shregmap.ys -< Test: code_verilog_tutorial_always_example -> ok -Passed various-sv_defines.ys -ERROR: Duplicate macro arguments with name `x'. -Expected error pattern 'Duplicate macro arguments with name `x'' found !!! -Passed various-sv_defines_dup.ys -ERROR: Mismatched brackets in macro argument: [ and }. -Expected error pattern 'Mismatched brackets in macro argument: \[ and }.' found !!! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Passed various-sv_defines_mismatch.ys -ERROR: Cannot expand macro `foo by giving only 1 argument (argument 2 has no default). -Expected error pattern 'Cannot expand macro `foo by giving only 1 argument \(argument 2 has no default\).' found !!! -Passed various-sv_defines_too_few.ys -Passed various-tcl_apis.ys -Passed various-wrapcell.ys -Test: forgen01 -> ok -Passed various-wreduce.ys -Passed various-wreduce2.ys -Passed various-write_gzip.ys -Passed various-xaiger.ys -Passed memory_bram test 00_01. -Test: code_verilog_tutorial_bus_con -> ok -Test: forgen02 -> ok -svinterface1_tb.v:50: $finish called at 420000 (10ps) -Test: code_verilog_tutorial_comment -> ok -svinterface1_tb.v:50: $finish called at 420000 (10ps) -ok -Test: svinterface_at_top -> Passed memory_bram test 00_02. -Passed various-async.sh -Passed various-chparam.sh -Test: forloops -> ok -Passed various-clk2fflogic_effects.sh -Passed various-hierarchy.sh -Passed various-logger_cmd_error.sh -Passed memory_bram test 00_03. -Passed various-logger_fail.sh -Test: code_verilog_tutorial_counter -> ok -Passed xilinx-asym_ram_sdp.ys -Test: fsm -> ok -Passed memory_bram test 00_04. -Test: code_verilog_tutorial_d_ff -> ok -svinterface_at_top_tb.v:61: $finish called at 420000 (10ps) -svinterface_at_top_tb_wrapper.v:61: $finish called at 420000 (10ps) -ERROR! -Test: load_and_derive ->ok -Test: resolve_types ->KTest: func_block -> ok -[16]ok -...passed tests in tests/svinterfaces -cd tests/xprop && bash run-test.sh "" -Passed memory_bram test 01_00. -xprop PRNG seed: 2552199059 -make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/xprop' -Test: func_recurse -> ok -Test: code_verilog_tutorial_decoder -> ok -K[17]Test: code_verilog_tutorial_decoder_always -> ok -Test: func_width_scope -> ok -xprop_not_3s_5: ok -xprop_not_3s_5: ok -Test: genblk_collide -> ok -Passed memory_bram test 01_03. -Test: code_verilog_tutorial_escape_id -> ok -KPassed memory_bram test 01_02. -[18]Test: genblk_dive -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Passed various-sv_implicit_ports.sh -Passed various-svalways.sh -xprop_pos_3s_5: ok -xprop_pos_3s_5: ok -Test: t_async_big_block -> ok -Passed memory_bram test 01_04. -Test: genblk_order -> ok -Test: code_verilog_tutorial_explicit -> ok -K[19]Test: genblk_port_shadow -> ok -Test: t_async_small -> ok -Passed various-plugin.sh -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/various' -...passed tests in tests/various -cd tests/select && bash run-test.sh "" -Running blackboxes.ys.. -Running list_mod.ys.. -Running mod-attribute.ys.. -Passed nanoxplore-shifter.ys -Test: code_verilog_tutorial_first_counter -> ok -Running no_warn_assert.ys.. -Running no_warn_prefixed_arg_memb.ys.. -Running no_warn_prefixed_empty_select_arg.ys.. -Running unset.ys.. -ERROR: Selection '\foo' does not exist! -Expected error pattern 'Selection '\\foo' does not exist!' found !!! -Running unset2.ys.. -ERROR: Selection @foo is not defined! -Expected error pattern 'Selection @foo is not defined!' found !!! -Running warn_empty_select_arg.ys.. -Warning: Selection "foo" did not match any module. -Warning: Selection "bar" did not match any object. -...passed tests in tests/select -Passed memory_bram test 02_00. -xprop_and_1u1_1: ok -xprop_and_1u1_1: ok -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits. -Test: t_async_small_block -> ok -xprop_neg_3s_5: ok -xprop_neg_3s_5: ok -Test: code_verilog_tutorial_flip_flop -> ok +Passed techmap-mem_simple_4x1_runtest.sh +Passed techmap-recursive_runtest.sh +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/techmap' +...passed tests in tests/techmap +Test: code_hdl_models_encoder_using_case -> ok +< ok -Test: t_sync_big -> ok -Test: memory -> ok -xprop_and_1s1_2: ok -xprop_and_1s1_2: ok +Test: wide_all -> ok +/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. +Passed ice40-logic.ys Warning: Complex async reset for dff `\Q'. -Test: code_verilog_tutorial_fsm_full -> ok -Test: t_sync_small -> ok -Test: t_sync_small_block -> ok -Test: module_scope -> ok -Test: code_verilog_tutorial_good_code -> ok -Test: t_sync_small_block_attr -> ok -Test: code_verilog_tutorial_if_else -> ok -xprop_and_2u2_2: ok -xprop_and_2u2_2: ok -Test: module_scope_case -> ok -Test: t_init_lut_zeros_zero -> ok -Passed memory_bram test 02_03. -Test: code_verilog_tutorial_multiply -> ok -Test: t_init_lut_zeros_any -> ok -Test: module_scope_func -> ok xprop_or_1u1_1: ok xprop_or_1u1_1: ok -Test: code_verilog_tutorial_mux_21 -> ok -K[20]Test: code_verilog_tutorial_n_out_primitive -> ok -Test: generate -> ok -Passed memory_bram test 03_00. -Passed memory_bram test 02_04. -Test: code_verilog_tutorial_parallel_if -> ok -Test: graphtest -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Passed qlf_k6n10f-t_mem2.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Test: t_sync_big_lut -> ok +Test: attrib04_net_var -> ok +Test: attrib04_net_var -> ok +Passed verilog-ifdef_nest.ys xprop_or_1s1_2: ok xprop_or_1s1_2: ok -Passed memory_bram test 02_01. -Test: code_verilog_tutorial_parity -> ok -Test: multiplier -> ok -Test: hierarchy -> ok -Test: t_init_lut_val_zero -> ok +ERROR: Unterminated preprocessor conditional! +Expected error pattern 'Unterminated preprocessor conditional!' found !!! +Passed verilog-ifdef_unterminated.ys +Test: attrib08_mod_inst -> ok +cd tests/blif && bash run-test.sh "" +Running bug2729.ys.. +Running bug3374.ys.. +ERROR: Syntax error in line 1! +Expected error pattern 'Syntax error in line 1!' found !!! Warning: Complex async reset for dff `\Q'. -Passed memory_bram test 03_01. -Test: code_verilog_tutorial_simple_function -> ok -Passed memory_bram test 03_02. -Test: t_init_lut_val_any -> ok -Passed memory_bram test 03_04. +Running bug3385.ys.. +Test: attrib06_operator_suffix -> ok +Test: t_async_small -> ok +ERROR: Syntax error in line 4: names' input plane must have fewer than 13 signals. +Expected error pattern 'Syntax error in line 4: names' input plane must have fewer than 13 signals.' found !!! +Passed xilinx-fsm.ys +...passed tests in tests/blif +Test: t_async_small_block -> ok +Test: t_sync_big -> ok +Test: attrib06_operator_suffix -> ok +Test: code_hdl_models_cam -> ok +Passed nexus-mux.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/nexus' +...passed tests in tests/arch/nexus +Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 xprop_or_2u2_2: ok xprop_or_2u2_2: ok -Test: code_verilog_tutorial_simple_if -> ok -Test: t_init_lut_val_no_undef -> ok -Test: hierdefparam -> ok -Test: muxtree -> ok -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits. -Test: code_verilog_tutorial_task_global -> ok -Test: t_init_lut_val2_any -> ok -Passed memory_bram test 04_02. +Passed xilinx-dsp_abc9.ys +K[11]Test: carryadd -> ok +Test: wide_read_async -> ok +Test: test_simulation_shifter -> ok +Test: attrib09_case -> ok xprop_xor_1u1_1: ok xprop_xor_1u1_1: ok -Passed memory_bram test 04_01. -Test: named_genblk -> ok -Test: t_init_lut_val2_no_undef -> ok -Test: i2c_master_tests -> ok -Passed memory_bram test 04_00. -Test: code_verilog_tutorial_tri_buf -> ok -K[21]Test: nested_genblk_resolve -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_init_lut_x_none -> ok -Passed qlf_k6n10f-t_mem3.ys -Test: t_init_lut_x_zero -> ok -Test: ifdef_2 -> ok -[22]Test: ifdef_1 -> ok -Test: code_verilog_tutorial_v2k_reg -> ok +Passed various-equiv_opt_undef.ys +Warning: Shift register inference not yet supported for family xc3se. +Test: attrib08_mod_inst -> ok +Passed memory_bram test 02_00. +KTest: code_hdl_models_encoder_using_if -> ok +[12]Test: t_async_big -> ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! xprop_xor_1s1_2: ok xprop_xor_1s1_2: ok -Test: t_init_lut_x_any -> ok -[23]Test: localparam_attr -> ok -Test: omsp_dbg_uart -> ok -Test: loop_prefix_case -> ok +ERROR: Command stdout did have a line matching given regex "giraffe". +Expected error pattern 'stdout did have a line' found !!! +Passed various-exec.ys +Test: t_sync_big_sdp -> ok +xprop_xor_2u2_2: ok +xprop_xor_2u2_2: ok +Test: case_expr_const -> ok +K[13]Warning: Drivers conflicting with a constant 1'0 driver: + module input PORT_A1_WR_BE_i[1] + module input PORT_A1_WR_DATA_i[17] + module input PORT_B1_WR_BE_i[1] + module input PORT_B1_WR_DATA_i[17] +Test: code_hdl_models_half_adder_gates -> ok +Test: attrib09_case -> ok +Test: code_hdl_models_full_adder_gates -> ok +Passed memory_bram test 01_03. Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_verilog_tutorial_which_clock -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/asicworld' -...passed tests in tests/asicworld -cd tests/proc && bash run-test.sh "" -Running bug2619.ys.. -Test: t_init_lut_x_no_undef -> ok -Running bug2656.ys.. -Warning: wire '\q1' is assigned in a block at < ok -Running bug4712.ys.. -Warning: Async reset value `\a_r' is not constant! -Running bug_1268.ys.. -Running clean_undef_case.ys.. -Running proc_dff.ys.. -Warning: Complex async reset for dff `\q'. -Running proc_rom.ys.. -Warning: wire '\d' is assigned in a block at < ok -Running rmdead.ys.. -...passed tests in tests/proc -cd tests/blif && bash run-test.sh "" -Running bug2729.ys.. -Running bug3374.ys.. -ERROR: Syntax error in line 1! -Expected error pattern 'Syntax error in line 1!' found !!! -Running bug3385.ys.. -Test: t_ram_18b2B -> ok -xprop_xor_2u2_2: ok -xprop_xor_2u2_2: ok -ERROR: Syntax error in line 4: names' input plane must have fewer than 13 signals. -Expected error pattern 'Syntax error in line 4: names' input plane must have fewer than 13 signals.' found !!! -...passed tests in tests/blif +Test: wide_read_mixed -> ok +Test: code_hdl_models_gray_counter -> ok +Test: carryadd -> ok +[27]Test: code_hdl_models_full_subtracter_gates -> ok +Test: test_simulation_sop -> ok cd tests/arch && bash run-test.sh "" +Test: case_expr_non_const -> ok Running syntax check on arch sim models -Test ../../techlibs/achronix/speedster22i/cells_sim.v ->Test: macro_arg_surrounding_spaces -> ok +Test ../../techlibs/achronix/speedster22i/cells_sim.v -> ok +Test ../../techlibs/anlogic/cells_sim.v ->xprop_xnor_1u1_1: ok +xprop_xnor_1u1_1: ok +Passed memory_bram test 02_01. +Passed verilog-func_upto.ys + ok +Passed verilog-include_self.ys +Test ../../techlibs/coolrunner2/cells_sim.v ->/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. + ok +Test ../../techlibs/ecp5/cells_sim.v ->Passed verilog-int_types.ys +< ok +ERROR: Expected to find '(' to begin macro arguments for 'MACRO', but instead found ';' +Expected error pattern 'Expected to find '\(' to begin macro arguments for 'MACRO', but instead found ';'' found !!! +Passed verilog-macro_unapplied.ys +Passed verilog-macro_arg_tromp.ys +ERROR: Expected to find '(' to begin macro arguments for 'foo', but instead found '\x0a' ok -Test ../../techlibs/anlogic/cells_sim.v -> ok -Test ../../techlibs/coolrunner2/cells_sim.v -> ok -Test ../../techlibs/ecp5/cells_sim.v -> ok -Test ../../techlibs/efinix/cells_sim.v -> ok -Test ../../techlibs/gatemate/cells_sim.v -> ok -Test ../../techlibs/gowin/cells_sim.v ->Test: t_ram_9b1B -> ok +Expected error pattern 'Expected to find '\(' to begin macro arguments for 'foo', but instead found '\\x0a'' found !!! +Passed verilog-macro_unapplied_newline.ys +Test ../../techlibs/efinix/cells_sim.v ->Test: case_expr_const -> ok + ok +Test: code_hdl_models_lfsr -> ok +Test ../../techlibs/gatemate/cells_sim.v ->xprop_xnor_1s1_2: ok +xprop_xnor_1s1_2: ok +[28]xprop_xnor_2u2_2: ok +xprop_xnor_2u2_2: ok + ok +Test: t_async_big_block -> ok +Test ../../techlibs/gowin/cells_sim.v ->Passed verilog-mem_bounds.ys +<< ok -Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. +Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->Passed verilog-past_signedness.ys +../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. @@ -61350,8 +60443,12 @@ ../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. +Passed verilog-port_int_types.ys +Passed verilog-prefix.ys +Warning: Module top contains RTLIL processes with sync rules. Such RTLIL processes can't always be mapped directly to Verilog always blocks. unintended changes in simulation behavior are possible! Use "proc" to convert processes to logic networks and registers. +Passed verilog-roundtrip_proc.ys ok -Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->K[24]../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. +Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->K[14]../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. @@ -61361,6 +60458,13 @@ ../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. +Passed verilog-sign_array_query.ys +Passed xilinx-latches.ys +cd tests/rpc && bash run-test.sh "" +Running exec.ys.. +Test: wide_read_sync -> ok +Test: code_hdl_models_lfsr_updown -> ok +Test: code_hdl_models_mux_2to1_gates -> ok ok Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. @@ -61372,69 +60476,176 @@ ../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. -Test: macros -> ok +Passed ice40-macc.ys +< ok +Passed xilinx-mul.ys ok -Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok -Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok -Test ../../techlibs/intel/max10/cells_sim.v -> ok -Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok -Test: t_ram_4b1B -> ok -Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> ok -Test ../../techlibs/microchip/cells_sim.v -> ok -Test ../../techlibs/nanoxplore/cells_sim.v -> ok -Test ../../techlibs/nexus/cells_sim.v -> ok -Test ../../techlibs/quicklogic/qlf_k6n10f/cells_sim.v -> ok -Test ../../techlibs/quicklogic/common/cells_sim.v -> ok -KTest ../../techlibs/quicklogic/pp3/cells_sim.v ->[25]Test: param_attr -> ok +Test ../../techlibs/intel/cyclone10lp/cells_sim.v ->Passed verilog-typedef_across_files.ys +Passed verilog-typedef_const_shadow.ys + ok +Test ../../techlibs/intel/cycloneiv/cells_sim.v ->Passed verilog-typedef_legacy_conflict.ys +Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:17) +Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:21) +Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:25) +Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:30) +Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:34) +Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:38) +Passed verilog-unbased_unsized.ys +Warning: Resizing cell port top.pt.inp from 32 bits to 64 bits. ok -Test ../../techlibs/sf2/cells_sim.v -> ok -Test ../../techlibs/xilinx/cells_sim.v ->Test: t_ram_2b1B -> ok +Passed verilog-unbased_unsized_shift.ys +Test ../../techlibs/intel/max10/cells_sim.v ->...passed tests in tests/rpc +Warning: Resizing cell port gate.pt4.out from 64 bits to 40 bits. +Warning: Resizing cell port gate.pt3.out from 64 bits to 40 bits. +Warning: Resizing cell port gate.pt2.out from 64 bits to 40 bits. +Warning: Resizing cell port gate.pt1.out from 64 bits to 40 bits. +Warning: Resizing cell port gold.pt4.out from 64 bits to 40 bits. +Warning: Resizing cell port gold.pt3.out from 64 bits to 40 bits. +Warning: Resizing cell port gold.pt2.out from 64 bits to 40 bits. +Warning: Resizing cell port gold.pt1.out from 64 bits to 40 bits. ok -Test ../../techlibs/common/simcells.v -> ok -Test ../../techlibs/common/simlib.v -> ok -...passed tests in tests/arch -cd tests/rpc && bash run-test.sh "" -Running exec.ys.. -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -xprop_xnor_1u1_1: ok -xprop_xnor_1u1_1: ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -...passed tests in tests/rpc +Test ../../techlibs/intel/cycloneive/cells_sim.v ->Passed xilinx-opt_lut_ins.ys +ERROR: Found `else outside of macro conditional branch! +Expected error pattern 'Found `else outside of macro conditional branch!' found !!! +Passed verilog-unmatched_else.ys +ERROR: Found `elsif outside of macro conditional branch! +Expected error pattern 'Found `elsif outside of macro conditional branch!' found !!! +xprop_add_5u3_3: ok +Passed verilog-unmatched_elsif.ys +xprop_add_5u3_3: ok + ok +Test ../../techlibs/intel_alm/cyclonev/cells_sim.v ->Test: const_branch_finish -> ok +Test: t_sync_small -> ok +Passed verilog-unbased_unsized_tern.ys +ERROR: Found `endif outside of macro conditional branch! +Expected error pattern 'Found `endif outside of macro conditional branch!' found !!! +Passed verilog-unmatched_endif.ys +ERROR: Found `endif outside of macro conditional branch! +Expected error pattern 'Found `endif outside of macro conditional branch!' found !!! +Passed verilog-unmatched_endif_2.ys + ok +Test ../../techlibs/microchip/cells_sim.v ->< ok + ok +Test ../../techlibs/nanoxplore/cells_sim.v ->Test: const_fold_func -> ok + ok +Test ../../techlibs/nexus/cells_sim.v ->Passed ice40-mul.ys +Test: t_sync_big_lut -> ok +Test: const_branch_finish -> ok +Passed xilinx-macc.ys +Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits. +Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits. +Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits. +Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits. +Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits. +Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits. + ok +Test ../../techlibs/quicklogic/qlf_k6n10f/cells_sim.v ->Passed memory_bram test 03_00. +Passed various-fib.ys +Passed xilinx-attributes_test.ys + ok +Test ../../techlibs/quicklogic/common/cells_sim.v ->xprop_add_5s3_3: ok +xprop_add_5s3_3: ok +Test: wide_thru_priority -> ok +Test: code_hdl_models_mux_using_case -> ok + ok +Test ../../techlibs/quicklogic/pp3/cells_sim.v ->Passed memory_bram test 03_01. +Test: wide_read_trans -> ok + ok +Test ../../techlibs/sf2/cells_sim.v ->Test: t_sync_small_block -> ok +Passed qlf_k6n10f-meminit.ys +Passed memory_bram test 03_02. +Test: code_hdl_models_mux_using_if -> ok cd tests/memfile && bash run-test.sh "" -Running from the parent directory with content1.dat + ok +Test ../../techlibs/xilinx/cells_sim.v ->Running from the parent directory with content1.dat Running from the parent directory with temp/content2.dat Running from the parent directory with memfile/temp/content2.dat -Passed memory_bram test 04_03. -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/bram' -Running from the same directory with content1.dat -Test: t_ram_1b1B -> ok -...passed tests in tests/bram -cd tests/fmt && bash run-test.sh "" + ok +Test ../../techlibs/common/simcells.v ->Running from the same directory with content1.dat Running from the same directory with temp/content2.dat -+ ../../yosys -p 'read_verilog initial_display.v' -+ awk '/<<>>/,/<<>>/ {print $0}' Running from a child directory with content1.dat Running from a child directory with temp/content2.dat Running from a child directory with content2.dat -+ iverilog -o iverilog-initial_display initial_display.v Checking a failure when zero length filename is provided memory.v:15: ERROR: Can not open file `` for \$readmemb. Execution failed, which is OK. Checking a failure when not existing filename is provided -+ ./iverilog-initial_display memory.v:15: ERROR: Can not open file `content3.dat` for \$readmemb. Execution failed, which is OK. ...passed tests in tests/memfile +Test: code_hdl_models_one_hot_cnt -> ok + ok +Test ../../techlibs/common/simlib.v ->Test: code_hdl_models_parity_using_assign -> ok +Test: t_sync_small_block_attr -> ok + ok +...passed tests in tests/arch +xprop_sub_5u3_3: ok +xprop_sub_5u3_3: ok +Passed xilinx-dffs.ys +Test: code_hdl_models_parity_using_bitwise -> ok +[29]Test: const_fold_func -> ok +Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15. +Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15. +Warning: wire '\data' is assigned in a block at rom.v:12.5-12.15. +Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16. +Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16. +Warning: wire '\data' is assigned in a block at rom.v:15.6-15.16. +Warning: wire '\data' is assigned in a block at rom.v:16.11-16.21. +K[15]Passed memory_bram test 04_00. +Test: const_func_shadow -> ok +Test: test_simulation_techmap_tech -> ok +Test: wide_write -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/memories' +Test: code_hdl_models_parallel_crc -> ok +Testing expectations for amber23_sram_byte_en.v ..Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: t_init_lut_zeros_zero -> ok +Passed qlf_k6n10f-ioff.ys +Test: code_hdl_models_parity_using_function -> ok +cd tests/fmt && bash run-test.sh "" ++ ../../yosys -p 'read_verilog initial_display.v' ++ awk '/<<>>/,/<<>>/ {print $0}' +Passed various-fib_tern.ys +Passed xilinx-asym_ram_sdp.ys ++ iverilog -o iverilog-initial_display initial_display.v +K[16] ok. +Testing expectations for implicit_en.v ..Test: constpower -> ok cd tests/cxxrtl && bash run-test.sh "" -+ diff yosys-initial_display.log iverilog-initial_display.log + run_subtest value + local subtest=value + shift + gcc -std=c++11 -O2 -o cxxrtl-test-value -I../../backends/cxxrtl/runtime test_value.cc -lstdc++ ++ ./iverilog-initial_display +Test: t_init_lut_zeros_any -> ok ++ diff yosys-initial_display.log iverilog-initial_display.log + test_always_display clk -DEVENT_CLK + local subtest=clk + shift @@ -61500,12 +60711,16 @@ 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. + ok. +Testing expectations for issue00335.v ..Passed memory_bram test 03_04. +Passed ice40-rom.ys +[17]Test: test_simulation_vlib -> ok Dumping module `\m'. -End of script. Logfile hash: 0de35d2746, CPU: user 0.02s system 0.00s, MEM: 10.81 MB peak +End of script. Logfile hash: 0de35d2746, CPU: user 0.00s system 0.01s, MEM: 11.29 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 35% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-2.v +Time spent: 40% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... +[18]+ ../../yosys -p 'read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-2.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -61568,16 +60783,28 @@ 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. -Dumping module `\m'. +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: const_func_shadow -> ok +[19]Dumping module `\m'. -End of script. Logfile hash: e35e8bb689, CPU: user 0.02s system 0.00s, MEM: 10.77 MB peak +End of script. Logfile hash: e35e8bb689, CPU: user 0.01s system 0.00s, MEM: 11.79 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 32% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... +Time spent: 39% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... + diff yosys-always_display-clk-1.v yosys-always_display-clk-2.v + test_always_display clk_rst -DEVENT_CLK_RST + local subtest=clk_rst + shift + ../../yosys -p 'read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-1.v +/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. +/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -61639,11 +60866,13 @@ 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. -Dumping module `\m'. + ok. +Testing expectations for issue00710.v ..Dumping module `\m'. -End of script. Logfile hash: c95608ddf0, CPU: user 0.02s system 0.01s, MEM: 10.71 MB peak +End of script. Logfile hash: c95608ddf0, CPU: user 0.02s system 0.00s, MEM: 11.68 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 40% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ... +Time spent: 41% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... +Test: test_simulation_xnor -> ok + ../../yosys -p 'read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-2.v /----------------------------------------------------------------------------\ @@ -61661,6 +60890,7 @@ Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). +xprop_sub_5s3_3: ok 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_rst-1.v:18$1'. @@ -61698,6 +60928,7 @@ 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. +xprop_sub_5s3_3: ok Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-clk_rst-2.v' using backend `verilog' -- @@ -61709,10 +60940,11 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: faf50513c3, CPU: user 0.02s system 0.01s, MEM: 10.77 MB peak +End of script. Logfile hash: faf50513c3, CPU: user 0.02s system 0.00s, MEM: 11.46 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 26% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... +Time spent: 38% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + diff yosys-always_display-clk_rst-1.v yosys-always_display-clk_rst-2.v +Test: code_hdl_models_pri_encoder_using_assign -> ok + test_always_display star -DEVENT_STAR + local subtest=star + shift @@ -61780,11 +61012,14 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 7b2c5274a5, CPU: user 0.02s system 0.01s, MEM: 10.66 MB peak +End of script. Logfile hash: 7b2c5274a5, CPU: user 0.01s system 0.00s, MEM: 11.46 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 36% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... +Time spent: 42% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-2.v - +Passed memory_bram test 04_01. +Test: code_hdl_models_rom_using_case -> ok + ok. +Testing expectations for no_implicit_en.v .. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | @@ -61846,11 +61081,14 @@ 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. -Dumping module `\m'. +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +KDumping module `\m'. -End of script. Logfile hash: 8979c5de0b, CPU: user 0.02s system 0.00s, MEM: 10.69 MB peak +End of script. Logfile hash: 8979c5de0b, CPU: user 0.01s system 0.00s, MEM: 11.58 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 32% 2x opt_expr (0 sec), 20% 2x read_verilog (0 sec), ... +Time spent: 39% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + diff yosys-always_display-star-1.v yosys-always_display-star-2.v + test_always_display clk_en -DEVENT_CLK -DCOND_EN + local subtest=clk_en @@ -61921,11 +61159,13 @@ 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. + ok. +Testing expectations for read_arst.v ..Test: t_init_lut_val_zero -> ok Dumping module `\m'. -End of script. Logfile hash: 4f8a3b339c, CPU: user 0.02s system 0.00s, MEM: 11.12 MB peak +End of script. Logfile hash: 4f8a3b339c, CPU: user 0.01s system 0.00s, MEM: 12.45 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 36% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... +Time spent: 44% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-2.v /----------------------------------------------------------------------------\ @@ -61992,16 +61232,20 @@ 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. +Passed various-func_port_implied_dir.ys +K ok. +Testing expectations for read_two_mux.v ..Test: code_hdl_models_serial_crc -> ok Dumping module `\m'. -End of script. Logfile hash: 51e7fa3902, CPU: user 0.02s system 0.01s, MEM: 11.09 MB peak +End of script. Logfile hash: 51e7fa3902, CPU: user 0.02s system 0.00s, MEM: 12.33 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 40% 2x opt_expr (0 sec), 18% 2x read_verilog (0 sec), ... +Time spent: 42% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... + diff yosys-always_display-clk_en-1.v yosys-always_display-clk_en-2.v + test_always_display clk_rst_en -DEVENT_CLK_RST -DCOND_EN + local subtest=clk_rst_en + shift + ../../yosys -p 'read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-1.v +Passed various-gen_if_null.ys /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -62067,12 +61311,14 @@ 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. +Test: code_hdl_models_tff_async_reset -> ok Dumping module `\m'. -End of script. Logfile hash: f9b4876f33, CPU: user 0.02s system 0.01s, MEM: 11.26 MB peak +End of script. Logfile hash: f9b4876f33, CPU: user 0.02s system 0.00s, MEM: 12.08 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 41% 2x opt_expr (0 sec), 16% 1x clean (0 sec), ... +Time spent: 44% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-2.v +Test: t_init_lut_val_any -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -62138,16 +61384,23 @@ 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. -Dumping module `\m'. + ok. +Testing expectations for shared_ports.v ..Dumping module `\m'. -End of script. Logfile hash: 0c4b4eaa9c, CPU: user 0.02s system 0.01s, MEM: 11.28 MB peak +End of script. Logfile hash: 0c4b4eaa9c, CPU: user 0.01s system 0.01s, MEM: 12.10 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 36% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... +Time spent: 42% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... + diff yosys-always_display-clk_rst_en-1.v yosys-always_display-clk_rst_en-2.v ++ ./cxxrtl-test-value +Test: t_init_lut_val_no_undef -> ok + test_always_display star_en -DEVENT_STAR -DCOND_EN + local subtest=star_en + shift + ../../yosys -p 'read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-1.v ++ run_subtest value_fuzz ++ local subtest=value_fuzz ++ shift ++ gcc -std=c++11 -O2 -o cxxrtl-test-value_fuzz -I../../backends/cxxrtl/runtime test_value_fuzz.cc -lstdc++ /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -62215,11 +61468,11 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: d6a7335726, CPU: user 0.02s system 0.01s, MEM: 11.16 MB peak +End of script. Logfile hash: d6a7335726, CPU: user 0.01s system 0.01s, MEM: 11.60 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 35% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... +Time spent: 45% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-2.v -K + /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | @@ -62284,13 +61537,13 @@ 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. -Dumping module `\m'. + ok. +Testing expectations for simple_sram_byte_en.v ..Dumping module `\m'. -End of script. Logfile hash: 18895a2046, CPU: user 0.01s system 0.01s, MEM: 11.25 MB peak +End of script. Logfile hash: 18895a2046, CPU: user 0.02s system 0.00s, MEM: 12.42 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 35% 2x opt_expr (0 sec), 17% 2x read_verilog (0 sec), ... +Time spent: 43% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... + diff yosys-always_display-star_en-1.v yosys-always_display-star_en-2.v -Test: t_init_9b1B_zeros_zero -> ok + test_roundtrip dec_unsigned -DBASE_DEC -DSIGN= + local subtest=dec_unsigned + shift @@ -62353,11 +61606,13 @@ 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. -Dumping module `\m'. +Passed memory_bram test 04_02. + ok. +Testing expectations for trans_addr_enable.v ..Dumping module `\m'. -End of script. Logfile hash: bfb187b86d, CPU: user 0.02s system 0.00s, MEM: 10.77 MB peak +End of script. Logfile hash: bfb187b86d, CPU: user 0.01s system 0.01s, MEM: 11.66 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 24% 1x opt_expr (0 sec), 23% 1x clean (0 sec), ... +Time spent: 27% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -o yosys-roundtrip-dec_unsigned-2.v /----------------------------------------------------------------------------\ @@ -62418,23 +61673,44 @@ 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. +Test: test_simulation_xor -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/hana' Dumping module `\m'. -End of script. Logfile hash: 4be9539e85, CPU: user 0.02s system 0.00s, MEM: 10.81 MB peak +End of script. Logfile hash: 4be9539e85, CPU: user 0.01s system 0.00s, MEM: 11.69 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 29% 2x read_verilog (0 sec), 18% 1x opt_expr (0 sec), ... +Time spent: 25% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-dec_unsigned-1.v yosys-roundtrip-dec_unsigned-2.v +Test: t_init_lut_val2_any -> ok + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned roundtrip.v roundtrip_tb.v +...passed tests in tests/hana + ok. +Testing expectations for trans_sdp.v ..Test: constpower -> ok +[20] ok. +Testing expectations for trans_sp.v ..Test: code_hdl_models_tff_sync_reset -> ok +Test: constmuldivmod -> ok + ./iverilog-roundtrip-dec_unsigned -Test: mem2reg -> ok -+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-1 yosys-roundtrip-dec_unsigned-1.v roundtrip_tb.v -xprop_xnor_1s1_2: ok -xprop_xnor_1s1_2: ok +Passed various-global_scope.ys + ok. +Testing expectations for wide_all.v ..Test: dff_different_styles -> ok +[30]+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-1 yosys-roundtrip-dec_unsigned-1.v roundtrip_tb.v +KTest: t_init_lut_x_none -> ok +Test: case_large -> ok +Test: code_hdl_models_up_counter -> ok + ./iverilog-roundtrip-dec_unsigned-1 +xprop_mul_5u3_3: ok +xprop_mul_5u3_3: ok +Passed various-gzip_verilog.ys +[31] ok. +Testing expectations for wide_read_async.v ..Passed various-help.ys + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-2 yosys-roundtrip-dec_unsigned-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_unsigned-1 -+ diff iverilog-roundtrip-dec_unsigned.log iverilog-roundtrip-dec_unsigned-1.log -Test: paramods -> ok +Test: t_init_lut_val2_no_undef -> ok + ok. +Testing expectations for wide_read_mixed.v ..+ ./iverilog-roundtrip-dec_unsigned-1 +xprop_mul_5s3_3: ok +xprop_mul_5s3_3: ok + ok. +Testing expectations for wide_read_sync.v ..+ diff iverilog-roundtrip-dec_unsigned.log iverilog-roundtrip-dec_unsigned-1.log + diff iverilog-roundtrip-dec_unsigned-1.log iverilog-roundtrip-dec_unsigned-2.log + test_roundtrip dec_signed -DBASE_DEC -DSIGN=signed + local subtest=dec_signed @@ -62498,11 +61774,12 @@ 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. -Dumping module `\m'. + ok. +Testing expectations for wide_read_trans.v ..Dumping module `\m'. -End of script. Logfile hash: bbdfa5ca92, CPU: user 0.02s system 0.01s, MEM: 10.61 MB peak +End of script. Logfile hash: bbdfa5ca92, CPU: user 0.01s system 0.01s, MEM: 11.95 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 20% 1x opt_expr (0 sec), 19% 1x clean (0 sec), ... +Time spent: 26% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -o yosys-roundtrip-dec_signed-2.v /----------------------------------------------------------------------------\ @@ -62565,24 +61842,26 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: b233de92a6, CPU: user 0.02s system 0.01s, MEM: 10.78 MB peak +End of script. Logfile hash: b233de92a6, CPU: user 0.01s system 0.00s, MEM: 11.36 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 22% 1x clean (0 sec), 22% 2x read_verilog (0 sec), ... +Time spent: 27% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-dec_signed-1.v yosys-roundtrip-dec_signed-2.v + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed roundtrip.v roundtrip_tb.v +Test: t_init_lut_x_zero -> ok + ./iverilog-roundtrip-dec_signed -+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-1 yosys-roundtrip-dec_signed-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_signed-1 -Test: t_init_9b1B_zeros_any -> ok + ok. +Testing expectations for wide_thru_priority.v ..+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-1 yosys-roundtrip-dec_signed-1.v roundtrip_tb.v + ok. +Testing expectations for wide_write.v ..+ ./iverilog-roundtrip-dec_signed-1 + ok. +...passed tests in tests/memories + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-2 yosys-roundtrip-dec_signed-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_signed-1 -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits. +Test: code_hdl_models_uart -> ok +Test: dff_init -> ok +[32]+ ./iverilog-roundtrip-dec_signed-1 +Test: t_init_lut_x_any -> ok + diff iverilog-roundtrip-dec_signed.log iverilog-roundtrip-dec_signed-1.log +Test: constmuldivmod -> ok + diff iverilog-roundtrip-dec_signed-1.log iverilog-roundtrip-dec_signed-2.log + test_roundtrip hex_unsigned -DBASE_HEX -DSIGN= + local subtest=hex_unsigned @@ -62626,6 +61905,7 @@ Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Test: code_hdl_models_up_counter_load -> ok 2.9. Executing PROC_DFF pass (convert process syncs to FFs). @@ -62646,11 +61926,14 @@ 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. +xprop_div_5s3_3: ok +xprop_div_5s3_3: ok +[21]Test: t_init_lut_x_no_undef -> ok Dumping module `\m'. -End of script. Logfile hash: 2377f2e106, CPU: user 0.02s system 0.00s, MEM: 10.67 MB peak +End of script. Logfile hash: 2377f2e106, CPU: user 0.01s system 0.00s, MEM: 11.33 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 24% 2x read_verilog (0 sec), 20% 1x clean (0 sec), ... +Time spent: 25% 1x clean (0 sec), 24% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -o yosys-roundtrip-hex_unsigned-2.v /----------------------------------------------------------------------------\ @@ -62702,6 +61985,7 @@ 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. ++ ./cxxrtl-test-value_fuzz Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-hex_unsigned-2.v' using backend `verilog' -- @@ -62711,19 +61995,38 @@ 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_div_5u3_3: ok +xprop_div_5u3_3: ok Dumping module `\m'. -End of script. Logfile hash: 06bfea69c8, CPU: user 0.02s system 0.01s, MEM: 10.60 MB peak +End of script. Logfile hash: 06bfea69c8, CPU: user 0.01s system 0.01s, MEM: 11.89 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 22% 2x read_verilog (0 sec), 17% 1x clean (0 sec), ... +Time spent: 26% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-hex_unsigned-1.v yosys-roundtrip-hex_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned roundtrip.v roundtrip_tb.v +xprop_mod_5s3_3: ok +xprop_mod_5s3_3: ok +Passed xilinx-nosrl.ys +xprop_mod_5u3_3: ok +xprop_mod_5u3_3: ok + ./iverilog-roundtrip-hex_unsigned +Passed various-hierarchy_defer.ys + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-1 yosys-roundtrip-hex_unsigned-1.v roundtrip_tb.v +Warning: wire '\read_data' is assigned in a block at spram.v:19.3-19.25. +xprop_divfloor_5u3_3: ok +xprop_divfloor_5u3_3: ok + ./iverilog-roundtrip-hex_unsigned-1 + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-2 yosys-roundtrip-hex_unsigned-2.v roundtrip_tb.v +Test: t_ram_18b2B -> ok +Test: dff_different_styles -> ok +Passed ice40-mux.ys +Passed xilinx-mul_unsigned.ys + ./iverilog-roundtrip-hex_unsigned-1 + diff iverilog-roundtrip-hex_unsigned.log iverilog-roundtrip-hex_unsigned-1.log +Test: fiedler-cooley -> ok + diff iverilog-roundtrip-hex_unsigned-1.log iverilog-roundtrip-hex_unsigned-2.log + test_roundtrip hex_signed -DBASE_HEX -DSIGN=signed + local subtest=hex_signed @@ -62783,21 +62086,17 @@ -- Writing to `yosys-roundtrip-hex_signed-1.v' using backend `verilog' -- 3. Executing Verilog backend. -+ ./cxxrtl-test-value 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. +Test: forgen01 -> ok Dumping module `\m'. -End of script. Logfile hash: 824c3b1e65, CPU: user 0.01s system 0.01s, MEM: 10.79 MB peak +End of script. Logfile hash: 824c3b1e65, CPU: user 0.01s system 0.00s, MEM: 11.94 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 22% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... +Time spent: 24% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -o yosys-roundtrip-hex_signed-2.v -+ run_subtest value_fuzz -+ local subtest=value_fuzz -+ shift -+ gcc -std=c++11 -O2 -o cxxrtl-test-value_fuzz -I../../backends/cxxrtl/runtime test_value_fuzz.cc -lstdc++ /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -62839,6 +62138,7 @@ 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Passed memory_bram test 04_03. 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). @@ -62853,25 +62153,41 @@ -- Writing to `yosys-roundtrip-hex_signed-2.v' using backend `verilog' -- 3. Executing Verilog backend. +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/bram' +...passed tests in tests/bram 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. +Passed various-hierarchy_generate.ys +Passed various-hierarchy_param.ys Dumping module `\m'. -End of script. Logfile hash: f18b3fa15b, CPU: user 0.02s system 0.01s, MEM: 10.60 MB peak +End of script. Logfile hash: f18b3fa15b, CPU: user 0.02s system 0.00s, MEM: 12.02 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 23% 2x read_verilog (0 sec), 21% 1x clean (0 sec), ... +Time spent: 24% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-hex_signed-1.v yosys-roundtrip-hex_signed-2.v -Passed qlf_k6n10f-t_mem4.ys + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed roundtrip.v roundtrip_tb.v +Test: t_ram_9b1B -> ok + ./iverilog-roundtrip-hex_signed +Passed xilinx-xilinx_dsp.ys +Test: forgen02 -> ok +Test: dff_init -> ok + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-1 yosys-roundtrip-hex_signed-1.v roundtrip_tb.v +[22]Test: code_hdl_models_up_down_counter -> ok +xprop_divfloor_5s3_3: ok +xprop_divfloor_5s3_3: ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! + ./iverilog-roundtrip-hex_signed-1 -Passed xilinx-attributes_test.ys +Passed ice40-shifter.ys + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-2 yosys-roundtrip-hex_signed-2.v roundtrip_tb.v +Passed xilinx-mux_lut4.ys +Test: t_ram_4b1B -> ok +Test: dynslice -> ok + ./iverilog-roundtrip-hex_signed-1 -Test: t_init_9b1B_val_zero -> ok +Test: forloops -> ok + diff iverilog-roundtrip-hex_signed.log iverilog-roundtrip-hex_signed-1.log + diff iverilog-roundtrip-hex_signed-1.log iverilog-roundtrip-hex_signed-2.log + test_roundtrip oct_unsigned -DBASE_HEX -DSIGN= @@ -62938,11 +62254,11 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: b768358a65, CPU: user 0.01s system 0.01s, MEM: 10.84 MB peak +End of script. Logfile hash: b768358a65, CPU: user 0.01s system 0.00s, MEM: 11.70 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 23% 2x read_verilog (0 sec), 18% 1x clean (0 sec), ... +Time spent: 28% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -o yosys-roundtrip-oct_unsigned-2.v -Test: mem2reg_bounds_tern -> ok +Test: code_tidbits_asyn_reset -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -63004,18 +62320,33 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 762621cd95, CPU: user 0.01s system 0.01s, MEM: 10.79 MB peak +End of script. Logfile hash: 762621cd95, CPU: user 0.01s system 0.00s, MEM: 11.66 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 22% 2x read_verilog (0 sec), 17% 1x clean (0 sec), ... +Time spent: 25% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-oct_unsigned-1.v yosys-roundtrip-oct_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_unsigned +Passed ice40-spram.ys +[23]+ ./iverilog-roundtrip-oct_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-1 yosys-roundtrip-oct_unsigned-1.v roundtrip_tb.v +Passed ice40-tribuf.ys +KWarning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: t_ram_2b1B -> ok +Test: code_specman_switch_fabric -> ok + ./iverilog-roundtrip-oct_unsigned-1 -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-2 yosys-roundtrip-oct_unsigned-2.v roundtrip_tb.v -Warning: Resizing cell port TB.uut.address_in_w from 11 bits to 10 bits. -Warning: Resizing cell port TB.uut.data_in from 18 bits to 36 bits. +Test: t_ram_1b1B -> ok +K+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-2 yosys-roundtrip-oct_unsigned-2.v roundtrip_tb.v +Test: func_block -> ok +Test: t_init_9b1B_zeros_any -> ok +Test: t_init_9b1B_zeros_zero -> ok +xprop_modfloor_5u3_3: ok +xprop_modfloor_5u3_3: ok +Test: func_recurse -> ok + ./iverilog-roundtrip-oct_unsigned-1 +KPassed xilinx-xilinx_srl.ys +Passed xilinx-pmgen_xilinx_srl.ys +Test: fsm -> ok + diff iverilog-roundtrip-oct_unsigned.log iverilog-roundtrip-oct_unsigned-1.log + diff iverilog-roundtrip-oct_unsigned-1.log iverilog-roundtrip-oct_unsigned-2.log + test_roundtrip oct_signed -DBASE_HEX -DSIGN=signed @@ -63082,9 +62413,9 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 7ec82b15e3, CPU: user 0.02s system 0.01s, MEM: 10.61 MB peak +End of script. Logfile hash: 7ec82b15e3, CPU: user 0.01s system 0.00s, MEM: 11.82 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 23% 2x read_verilog (0 sec), 20% 1x clean (0 sec), ... +Time spent: 27% 1x clean (0 sec), 23% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -o yosys-roundtrip-oct_signed-2.v /----------------------------------------------------------------------------\ @@ -63147,22 +62478,32 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: a747b9bd4f, CPU: user 0.01s system 0.01s, MEM: 10.64 MB peak +End of script. Logfile hash: a747b9bd4f, CPU: user 0.01s system 0.01s, MEM: 12.05 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 22% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... +Time spent: 24% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-oct_signed-1.v yosys-roundtrip-oct_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-oct_signed + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-1 yosys-roundtrip-oct_signed-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_signed-1 +Test: t_init_9b1B_val_zero -> ok +Test: code_tidbits_blocking -> ok +K+ ./iverilog-roundtrip-oct_signed-1 +Test: code_tidbits_fsm_using_always -> ok +Test: forgen01 -> ok +Test: fiedler-cooley -> ok +Test: code_tidbits_fsm_using_function -> ok + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-2 yosys-roundtrip-oct_signed-2.v roundtrip_tb.v -K[26]+ ./iverilog-roundtrip-oct_signed-1 +xprop_lt_5u3_2: ok +xprop_lt_5u3_2: ok ++ ./iverilog-roundtrip-oct_signed-1 + diff iverilog-roundtrip-oct_signed.log iverilog-roundtrip-oct_signed-1.log + diff iverilog-roundtrip-oct_signed-1.log iverilog-roundtrip-oct_signed-2.log + test_roundtrip bin_unsigned -DBASE_HEX -DSIGN= + local subtest=bin_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-bin_unsigned-1.v +xprop_lt_5s3_2: ok +xprop_lt_5s3_2: ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -63221,14 +62562,14 @@ 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. +Test: t_init_9b1B_val_any -> ok Dumping module `\m'. -End of script. Logfile hash: 270b564880, CPU: user 0.01s system 0.01s, MEM: 10.79 MB peak +End of script. Logfile hash: 270b564880, CPU: user 0.01s system 0.01s, MEM: 11.93 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 22% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... +Time spent: 25% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... +Test: code_tidbits_fsm_using_single_always -> ok + ../../yosys -p 'read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -o yosys-roundtrip-bin_unsigned-2.v -Test: t_init_9b1B_val_any -> ok -xprop_xnor_2u2_2: ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -63288,22 +62629,553 @@ 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. +xprop_le_5u3_2: ok +xprop_le_5u3_2: ok +Test: genblk_dive -> ok Dumping module `\m'. -End of script. Logfile hash: dc9f56cb10, CPU: user 0.02s system 0.01s, MEM: 10.90 MB peak +End of script. Logfile hash: dc9f56cb10, CPU: user 0.01s system 0.01s, MEM: 11.24 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 24% 1x clean (0 sec), 22% 2x read_verilog (0 sec), ... +Time spent: 25% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-bin_unsigned-1.v yosys-roundtrip-bin_unsigned-2.v -xprop_xnor_2u2_2: ok + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned roundtrip.v roundtrip_tb.v +< ok +Test: t_init_9b1B_val_no_undef -> ok +xprop_modfloor_5s3_3: ok +xprop_modfloor_5s3_3: ok +Warning: Resizing cell port top.u3.out from 1 bits to 2 bits. + ./iverilog-roundtrip-bin_unsigned +Test: t_init_13b2B_val_any -> ok +Test: forgen02 -> ok + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-1 yosys-roundtrip-bin_unsigned-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_unsigned-1 -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +[33]attribute \src "\" / \\ \010 \014 \n \015 \t \025 \033" +[24]Passed various-json_escape_chars.ys +Passed various-keep_hierarchy.ys +Test: func_width_scope -> ok +Passed various-json_scopeinfo.ys +[34]+ ./iverilog-roundtrip-bin_unsigned-1 + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-2 yosys-roundtrip-bin_unsigned-2.v roundtrip_tb.v +Test: forloops -> ok + ./iverilog-roundtrip-bin_unsigned-1 +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[0] --> Q[0] + wire \dword [0] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[0] --> Y[0] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[0] --> Y[0] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[1] --> Q[1] + wire \dword [1] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[1] --> Y[1] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[1] --> Y[1] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[2] --> Q[2] + wire \dword [2] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[2] --> Y[2] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[2] --> Y[2] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[3] --> Q[3] + wire \dword [3] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[3] --> Y[3] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[3] --> Y[3] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[4] --> Q[4] + wire \dword [4] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[4] --> Y[4] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[4] --> Y[4] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[5] --> Q[5] + wire \dword [5] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[5] --> Y[5] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[5] --> Y[5] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[6] --> Q[6] + wire \dword [6] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[6] --> Y[6] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[6] --> Y[6] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[7] --> Q[7] + wire \dword [7] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[7] --> Y[7] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[7] --> Y[7] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[8] --> Q[8] + wire \dword [8] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[8] --> Y[8] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[8] --> Y[8] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[9] --> Q[9] + wire \dword [9] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[9] --> Y[9] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[9] --> Y[9] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[10] --> Q[10] + wire \dword [10] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[10] --> Y[10] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[10] --> Y[10] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[11] --> Q[11] + wire \dword [11] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[11] --> Y[11] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[11] --> Y[11] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[12] --> Q[12] + wire \dword [12] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[12] --> Y[12] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[12] --> Y[12] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[13] --> Q[13] + wire \dword [13] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[13] --> Y[13] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[13] --> Y[13] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[14] --> Q[14] + wire \dword [14] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[14] --> Y[14] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[14] --> Y[14] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[15] --> Q[15] + wire \dword [15] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[15] --> Y[15] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[15] --> Y[15] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[16] --> Q[16] + wire \dword [16] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[16] --> Y[16] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[16] --> Y[16] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[17] --> Q[17] + wire \dword [17] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[17] --> Y[17] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[17] --> Y[17] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[18] --> Q[18] + wire \dword [18] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[18] --> Y[18] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[18] --> Y[18] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[19] --> Q[19] + wire \dword [19] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[19] --> Y[19] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[19] --> Y[19] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[20] --> Q[20] + wire \dword [20] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[20] --> Y[20] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[20] --> Y[20] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[21] --> Q[21] + wire \dword [21] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[21] --> Y[21] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[21] --> Y[21] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[22] --> Q[22] + wire \dword [22] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[22] --> Y[22] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[22] --> Y[22] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[23] --> Q[23] + wire \dword [23] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[23] --> Y[23] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[23] --> Y[23] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[24] --> Q[24] + wire \dword [24] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[24] --> Y[24] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[24] --> Y[24] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[25] --> Q[25] + wire \dword [25] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[25] --> Y[25] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[25] --> Y[25] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[26] --> Q[26] + wire \dword [26] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[26] --> Y[26] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[26] --> Y[26] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[27] --> Q[27] + wire \dword [27] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[27] --> Y[27] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[27] --> Y[27] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[28] --> Q[28] + wire \dword [28] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[28] --> Y[28] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[28] --> Y[28] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[29] --> Q[29] + wire \dword [29] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[29] --> Y[29] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[29] --> Y[29] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[30] --> Q[30] + wire \dword [30] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[30] --> Y[30] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[30] --> Y[30] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[31] --> Q[31] + wire \dword [31] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[31] --> Y[31] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[31] --> Y[31] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[32] --> Q[32] + wire \dword [32] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[32] --> Y[32] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[32] --> Y[32] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[33] --> Q[33] + wire \dword [33] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[33] --> Y[33] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[33] --> Y[33] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[34] --> Q[34] + wire \dword [34] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[34] --> Y[34] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[34] --> Y[34] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[35] --> Q[35] + wire \dword [35] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[35] --> Y[35] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[35] --> Y[35] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[36] --> Q[36] + wire \dword [36] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[36] --> Y[36] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[36] --> Y[36] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[37] --> Q[37] + wire \dword [37] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[37] --> Y[37] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[37] --> Y[37] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[38] --> Q[38] + wire \dword [38] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[38] --> Y[38] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[38] --> Y[38] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[39] --> Q[39] + wire \dword [39] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[39] --> Y[39] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[39] --> Y[39] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[40] --> Q[40] + wire \dword [40] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[40] --> Y[40] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[40] --> Y[40] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[41] --> Q[41] + wire \dword [41] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[41] --> Y[41] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[41] --> Y[41] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[42] --> Q[42] + wire \dword [42] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[42] --> Y[42] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[42] --> Y[42] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[43] --> Q[43] + wire \dword [43] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[43] --> Y[43] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[43] --> Y[43] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[44] --> Q[44] + wire \dword [44] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[44] --> Y[44] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[44] --> Y[44] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[45] --> Q[45] + wire \dword [45] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[45] --> Y[45] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[45] --> Y[45] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[46] --> Q[46] + wire \dword [46] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[46] --> Y[46] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[46] --> Y[46] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[47] --> Q[47] + wire \dword [47] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[47] --> Y[47] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[47] --> Y[47] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[48] --> Q[48] + wire \dword [48] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[48] --> Y[48] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[48] --> Y[48] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[49] --> Q[49] + wire \dword [49] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[49] --> Y[49] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[49] --> Y[49] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[50] --> Q[50] + wire \dword [50] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[50] --> Y[50] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[50] --> Y[50] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[51] --> Q[51] + wire \dword [51] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[51] --> Y[51] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[51] --> Y[51] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[52] --> Q[52] + wire \dword [52] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[52] --> Y[52] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[52] --> Y[52] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[53] --> Q[53] + wire \dword [53] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[53] --> Y[53] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[53] --> Y[53] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[54] --> Q[54] + wire \dword [54] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[54] --> Y[54] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[54] --> Y[54] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[55] --> Q[55] + wire \dword [55] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[55] --> Y[55] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[55] --> Y[55] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[56] --> Q[56] + wire \dword [56] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[56] --> Y[56] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[56] --> Y[56] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[57] --> Q[57] + wire \dword [57] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[57] --> Y[57] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[57] --> Y[57] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[58] --> Q[58] + wire \dword [58] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[58] --> Y[58] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[58] --> Y[58] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[59] --> Q[59] + wire \dword [59] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[59] --> Y[59] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[59] --> Y[59] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[60] --> Q[60] + wire \dword [60] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[60] --> Y[60] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[60] --> Y[60] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[61] --> Q[61] + wire \dword [61] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[61] --> Y[61] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[61] --> Y[61] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[62] --> Q[62] + wire \dword [62] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[62] --> Y[62] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[62] --> Y[62] +Warning: found logic loop in module latch_002_gate: + cell $auto$proc_dlatch.cc:432:proc_dlatch$13485 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 + D[63] --> Q[63] + wire \dword [63] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 + cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 + A[63] --> Y[63] + cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 + A[63] --> Y[63] +Test: t_init_18b2B_val_any -> ok + diff iverilog-roundtrip-bin_unsigned.log iverilog-roundtrip-bin_unsigned-1.log + diff iverilog-roundtrip-bin_unsigned-1.log iverilog-roundtrip-bin_unsigned-2.log + test_roundtrip bin_signed -DBASE_HEX -DSIGN=signed @@ -63370,9 +63242,9 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 7709253822, CPU: user 0.02s system 0.01s, MEM: 10.61 MB peak +End of script. Logfile hash: 7709253822, CPU: user 0.01s system 0.00s, MEM: 11.92 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 27% 1x opt_expr (0 sec), 19% 1x clean (0 sec), ... +Time spent: 26% 1x clean (0 sec), 23% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -o yosys-roundtrip-bin_signed-2.v /----------------------------------------------------------------------------\ @@ -63435,16 +63307,52 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 7e2d8271c4, CPU: user 0.02s system 0.01s, MEM: 10.86 MB peak +End of script. Logfile hash: 7e2d8271c4, CPU: user 0.01s system 0.01s, MEM: 11.49 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 21% 2x read_verilog (0 sec), 20% 1x clean (0 sec), ... +Time spent: 26% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... +Test: genblk_order -> ok +Test: code_tidbits_nonblocking -> ok + diff yosys-roundtrip-bin_signed-1.v yosys-roundtrip-bin_signed-2.v -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed roundtrip.v roundtrip_tb.v +Passed xilinx-shifter.ys +[25]+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed roundtrip.v roundtrip_tb.v +ERROR: Identifier `\b' is implicitly declared. +Expected error pattern 'is implicitly declared.' found !!! +Passed various-logger_error.ys + ./iverilog-roundtrip-bin_signed +Passed various-logger_nowarning.ys +Passed xilinx-xilinx_dffopt.ys + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-1 yosys-roundtrip-bin_signed-1.v roundtrip_tb.v +[26]Warning: Found log message matching -W regex: +Added regex 'Successfully finished Verilog frontend.' to expected warning messages list. +< ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: t_init_18b2B_val_no_undef -> ok +Test: func_block -> ok +< ok + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-2 yosys-roundtrip-bin_signed-2.v roundtrip_tb.v +Passed various-logic_param_simple.ys +Passed various-mem2reg.ys +[27]Test: code_tidbits_syn_reset -> ok +Test: genblk_port_shadow -> ok + ./iverilog-roundtrip-bin_signed-1 +[37][36]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! + diff iverilog-roundtrip-bin_signed.log iverilog-roundtrip-bin_signed-1.log + diff iverilog-roundtrip-bin_signed-1.log iverilog-roundtrip-bin_signed-2.log + test_cxxrtl always_full @@ -63462,7 +63370,7 @@ -- Running command `read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' -- 1. Executing Verilog-2005 frontend: always_full.v -Parsing Verilog input from `always_full.v' to AST representation. +KParsing Verilog input from `always_full.v' to AST representation. Generating RTLIL representation for module `\always_full'. Successfully finished Verilog frontend. @@ -63552,110 +63460,276 @@ 3.3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. +Test: t_init_4b1B_x_none -> ok -End of script. Logfile hash: af8795c7c4, CPU: user 0.04s system 0.01s, MEM: 13.91 MB peak +End of script. Logfile hash: af8795c7c4, CPU: user 0.03s system 0.01s, MEM: 12.98 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 31% 2x read_verilog (0 sec), 29% 2x write_cxxrtl (0 sec), ... +Time spent: 28% 2x read_verilog (0 sec), 25% 2x write_cxxrtl (0 sec), ... +Test: code_tidbits_reg_seq_example -> ok + gcc -std=c++11 -o yosys-always_full -I../../backends/cxxrtl/runtime always_full_tb.cc -lstdc++ -Test: t_init_9b1B_val_no_undef -> ok -xprop_add_5u3_3: ok -xprop_add_5u3_3: ok -Test: t_init_13b2B_val_any -> ok -Test: mem_arst -> ok -xprop_add_5s3_3: ok -xprop_add_5s3_3: ok -Test: t_init_18b2B_val_any -> ok -KTest: t_init_18b2B_val_no_undef -> ok -xprop_sub_5u3_3: ok -xprop_sub_5u3_3: ok -Test: t_init_4b1B_x_none -> ok -K[27]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +xprop_le_5s3_2: ok +xprop_le_5s3_2: ok +Passed xilinx-tribuf.ys +Passed various-memory_word_as_index.ys +[28]Warning: Yosys has only limited support for tri-state logic at the moment. (/build/reproducible-path/yosys-0.51/share/simcells.v:476) +Warning: Resizing cell port priority_memory.mem.0.0.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.0.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.1.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.1.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.2.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.2.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.3.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.3.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.4.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.4.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.5.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.5.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.6.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.6.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.7.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.7.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.WEBWE from 4 bits to 8 bits. +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_init_4b1B_x_zero -> ok -xprop_sub_5s3_3: ok -xprop_sub_5s3_3: ok -Test: module_scope -> ok -K[28]Passed qlf_k6n10f-t_mem1.ys -Test: t_init_4b1B_x_any -> ok -Test: t_init_4b1B_x_no_undef -> ok +Test: code_tidbits_wire_example -> ok +xprop_eq_5u3_2: ok +xprop_eq_5u3_2: ok +[38]Test: t_init_4b1B_x_any -> ok +xprop_eq_5s3_2: ok +xprop_eq_5s3_2: ok +[29]Test: t_init_4b1B_x_zero -> ok +KTest: func_recurse -> ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -xprop_mul_5u3_3: ok -xprop_mul_5u3_3: ok -Test: t_clock_a4_wANYrANYsFalse -> ok -Test: operators -> ok +xprop_ne_5u3_2: ok +Test: code_verilog_tutorial_addbit -> ok +xprop_ne_5u3_2: ok +[39]Test: func_width_scope -> ok +Passed various-param_struct.ys +KTest: genblk_collide -> ok +xprop_ne_5s3_2: ok +xprop_ne_5s3_2: ok +[40]K[41]xprop_eqx_5u3_2: ok +xprop_eqx_5u3_2: ok +[42][43]Passed xilinx-mux.ys +[44]Test: t_clock_a4_wANYrANYsFalse -> ok +Passed various-muxpack.ys +[45][46][47]xprop_eqx_5s3_2: ok +xprop_eqx_5s3_2: ok +[48][49][50][51]Test: graphtest -> ok +Test: t_init_4b1B_x_no_undef -> ok +[52]Passed various-peepopt.ys Test: t_clock_a4_wANYrNEGsFalse -> ok -Test: t_clock_a4_wANYrPOSsFalse -> ok -xprop_mul_5s3_3: ok -xprop_mul_5s3_3: ok -Test: realexpr -> ok -Test: process -> ok -Test: t_clock_a4_wNEGrANYsFalse -> ok -+ ./cxxrtl-test-value_fuzz -K[29]Test: retime -> ok +[53]K[54][55][56]Test: t_clock_a4_wANYrPOSsFalse -> ok +Test: code_verilog_tutorial_always_example -> ok +Passed various-muxcover.ys +[57]Test: hierarchy -> ok +Test: genblk_order -> ok +Test: genblk_dive -> ok +Passed various-dynamic_part_select.ys +Passed various-peepopt_formal.ys +Test: code_verilog_tutorial_bus_con -> ok +K[30]Passed xilinx-abc9_dff.ys Test: t_clock_a4_wNEGrPOSsFalse -> ok -xprop_div_5u3_3: ok -xprop_div_5u3_3: ok -K[30]xprop_div_5s3_3: ok -xprop_div_5s3_3: ok +Test: case_large -> ok +Test: t_clock_a4_wNEGrANYsFalse -> ok +Test: code_verilog_tutorial_comment -> ok +K[31][58]Passed various-pmux2shiftx.ys Test: t_clock_a4_wNEGrNEGsFalse -> ok -xprop_mod_5u3_3: ok -xprop_mod_5u3_3: ok -Test: repwhile -> ok +Test: genblk_port_shadow -> ok Test: t_clock_a4_wPOSrANYsFalse -> ok -Test: memory -> ok -Passed xilinx-bug1460.ys -Test: scopes -> ok +xprop_nex_5u3_2: ok +xprop_nex_5u3_2: ok Test: t_clock_a4_wPOSrNEGsFalse -> ok -xprop_mod_5s3_3: ok -xprop_mod_5s3_3: ok -Test: module_scope_case -> ok -Test: sign_part_assign -> ok +Test: ifdef_1 -> ok +Test: code_verilog_tutorial_counter -> ok +Warning: Resizing cell port act.ou2.out from 3 bits to 2 bits. +Warning: Resizing cell port act.os2.out from 3 bits to 2 bits. +Warning: Resizing cell port act.ou1.out from 3 bits to 1 bits. +Warning: Resizing cell port act.os1.out from 3 bits to 1 bits. +Warning: Resizing cell port act.pt9.a from 3 bits to 4 bits. +Warning: Resizing cell port act.pt7.a from 3 bits to 4 bits. +Warning: Resizing cell port act.pt6.a from 3 bits to 4 bits. +Warning: Resizing cell port act.pt5.a from 2 bits to 4 bits. +Warning: Resizing cell port act.pt4.a from 1 bits to 4 bits. +Warning: Resizing cell port act.pt3.a from 1 bits to 4 bits. +Warning: Resizing cell port act.pt2.a from 1 bits to 4 bits. +Test: hierdefparam -> ok Test: t_clock_a4_wPOSrPOSsFalse -> ok -Test: module_scope_func -> ok -xprop_divfloor_5u3_3: ok -Test: signedexpr -> ok -xprop_divfloor_5u3_3: ok -Test: signed_full_slice -> ok +Passed various-port_sign_extend.ys +K[32]Test: t_clock_a4_wNEGrNEGsTrue -> ok +Test: i2c_master_tests -> ok +[33]Test: t_clock_a4_wNEGrPOSsTrue -> ok +[59]Passed various-primitives.ys +Passed xilinx-tribuf.sh +Test: ifdef_2 -> ok Test: t_clock_a4_wANYrANYsTrue -> ok -Test: string_format -> ok -Test: specify -> ok -Test: t_clock_a4_wNEGrPOSsTrue -> ok -Test: t_clock_a4_wNEGrNEGsTrue -> ok -xprop_divfloor_5s3_3: ok -xprop_divfloor_5s3_3: ok -Test: multiplier -> ok -Warning: Resizing cell port TB.uut.address_in_w from 10 bits to 8 bits. -Warning: Resizing cell port TB.uut.data_in from 8 bits to 32 bits. +Passed various-printattr.ys Test: t_clock_a4_wPOSrNEGsTrue -> ok -Passed nanoxplore-meminit.ys -Test: subbytes -> ok -xprop_modfloor_5u3_3: ok -xprop_modfloor_5u3_3: ok -xprop_modfloor_5s3_3: ok -xprop_modfloor_5s3_3: ok -Test: t_clock_a4_wPOSrPOSsTrue -> ok -Test: named_genblk -> ok -Test: sincos -> ok -Test: muxtree -> ok -Test: nested_genblk_resolve -> ok -xprop_lt_5u3_2: ok +xprop_nex_5s3_2: ok +xprop_nex_5s3_2: ok +[60]Test: generate -> ok +KPassed various-rand_const.ys +Test: graphtest -> ok +xprop_gt_5u3_2: ok +xprop_gt_5u3_2: ok +Test: code_verilog_tutorial_d_ff -> ok +xprop_ge_5s3_2: ok +Test: localparam_attr -> ok +xprop_ge_5s3_2: ok +[34]xprop_ge_5u3_2: ok +xprop_ge_5u3_2: ok +Test: code_verilog_tutorial_decoder -> ok +Test: t_mixed_9_18 -> ok +xprop_gt_5s3_2: ok +[61]xprop_gt_5s3_2: ok +[35]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: hierarchy -> ok Test: t_unmixed -> ok -xprop_lt_5u3_2: ok -[31]+ ./yosys-always_full +Test: loop_prefix_case -> ok +xprop_reduce_and_3u_3: ok +xprop_reduce_and_3u_3: ok +Warning: Resizing cell port priority_memory.mem.0.0.BWE_A from 8 bits to 9 bits. +Warning: Resizing cell port priority_memory.mem.0.0.BWE_B from 8 bits to 9 bits. +Test: t_clock_a4_wPOSrPOSsTrue -> ok +Test: loop_var_shadow -> ok +[36]KTest: code_verilog_tutorial_decoder_always -> ok +[62][37]Test: code_verilog_tutorial_escape_id -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: macro_arg_surrounding_spaces -> ok +xprop_reduce_and_3s_3: ok +xprop_reduce_and_3s_3: ok +Kxprop_reduce_or_3u_3: ok +xprop_reduce_or_3u_3: ok +Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26.9-26.21. +Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29.3-29.18. +Warning: reg '\l_reg' is assigned in a continuous assignment at reg_wire_error.sv:35.8-35.22. +Warning: wire '\mw2' is assigned in a block at reg_wire_error.sv:62.3-62.16. +Warning: wire '\mw3' is assigned in a block at reg_wire_error.sv:69.3-69.17. +Warning: Replacing memory \ml3 with list of registers. See reg_wire_error.sv:70 +Warning: Replacing memory \mr3 with list of registers. See reg_wire_error.sv:68 +Warning: Replacing memory \ml2 with list of registers. See reg_wire_error.sv:63 +Warning: Replacing memory \mr2 with list of registers. See reg_wire_error.sv:61 +Warning: Replacing memory \ml1 with list of registers. See reg_wire_error.sv:58 +Passed various-reg_wire_error.ys +K[63]Passed various-rename_scramble_name.ys +Passed various-rtlil_signed_attribute.ys +[64][65]Test: code_verilog_tutorial_first_counter -> ok +Test: i2c_master_tests -> ok +Test: loops -> ok +Test: t_mixed_4_2 -> ok +Test: hierdefparam -> ok +Test: t_mixed_18_9 -> ok +[38][66][67]Passed various-rtlil_z_bits.ys +Passed various-scopeinfo.ys +[39]Passed ecp5-memories.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/ecp5' +...passed tests in tests/arch/ecp5 +[40][68]Test: t_mixed_36_9 -> ok +Test: macros -> ok +Test: code_verilog_tutorial_explicit -> ok +[69]+ ./yosys-always_full + iverilog -o iverilog-always_full always_full.v always_full_tb.v -+ grep -v '\$finish called' +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +[70][71][72]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! + ./iverilog-always_full -+ diff iverilog-always_full.log yosys-always_full.log -+ test_cxxrtl always_comb ++ grep -v '\$finish called' +[73][74][75]+ diff iverilog-always_full.log yosys-always_full.log +[76]+ test_cxxrtl always_comb + local subtest=always_comb + shift + ../../yosys -p 'read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc' - +Test: mem2reg_bounds_tern -> ok +[77] /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | @@ -63781,45 +63855,128 @@ 3.3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module top. - +[78] -End of script. Logfile hash: a6b3c2e895, CPU: user 0.01s system 0.00s, MEM: 12.66 MB peak +End of script. Logfile hash: a6b3c2e895, CPU: user 0.01s system 0.00s, MEM: 13.17 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 28% 2x opt_expr (0 sec), 16% 2x read_verilog (0 sec), ... +Time spent: 29% 2x opt_expr (0 sec), 15% 2x write_cxxrtl (0 sec), ... + gcc -std=c++11 -o yosys-always_comb -I../../backends/cxxrtl/runtime always_comb_tb.cc -lstdc++ -Test: undef_eqx_nex -> ok -K[32]Test: omsp_dbg_uart -> ok -Test: t_mixed_9_18 -> ok -xprop_lt_5s3_2: ok -xprop_lt_5s3_2: ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +[79]KTest: t_sync_2clk -> ok +[80][81]KPassed various-scratchpad.ys +[82][83]Test: ifdef_1 -> ok +Test: t_tdp -> ok +Passed xilinx-macc.sh +[84][85]Passed various-script.ys +Test: ifdef_2 -> ok +Test: module_scope_case -> ok +KTest: module_scope -> ok +Test: code_verilog_tutorial_flip_flop -> ok +xprop_reduce_xor_3s_3: ok +xprop_reduce_xor_3s_3: ok +xprop_reduce_xor_3u_3: ok +xprop_reduce_xor_3u_3: ok +xprop_reduce_or_3s_3: ok +xprop_reduce_or_3s_3: ok +Test: dynslice -> ok +[41]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -xprop_le_5u3_2: ok -Test: t_mixed_18_9 -> ok -xprop_le_5u3_2: ok -Test: usb_phy_tests -> ok -K[33]Test: task_func -> ok -Test: t_mixed_36_9 -> ok -Test: values -> ok -Test: verilog_primitives -> ok -xprop_le_5s3_2: ok -xprop_le_5s3_2: ok -Test: t_mixed_4_2 -> ok -xprop_eq_5u3_2: ok -xprop_eq_5u3_2: ok -Test: t_tdp -> ok -K[34]Test: partsel -> ok +Test: localparam_attr -> ok +xprop_reduce_xnor_3u_3: ok +xprop_reduce_xnor_3u_3: ok +KPassed various-sformatf.ys Test: t_sync_shared -> ok -Test: t_sync_2clk -> ok -[35]+ ./yosys-always_comb +Test: generate -> ok +Test: module_scope_func -> ok +K[42]Test: t_sync_2clk_shared -> ok +Test: code_verilog_tutorial_fsm_full -> ok +[86]Passed various-shregmap.ys +Test: t_sync_trans_old_old -> ok +Test: mem_arst -> ok +Test: loop_prefix_case -> ok +< ok +Test: mem2reg -> ok +Test: code_verilog_tutorial_good_code -> ok +[44]+ ./yosys-always_comb +xprop_reduce_xnor_3s_3: ok +xprop_reduce_xnor_3s_3: ok +[45]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Passed various-pmgen_reduce.ys +Warning: Yosys has only limited support for tri-state logic at the moment. (< ok +Test: nested_genblk_resolve -> ok +specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_MIN = 1.500000 with string. +specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_TYP = 1.500000 with string. +specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_MAX = 1.500000 with string. +specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_MIN = 1.500000 with string. +specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_TYP = 1.500000 with string. +specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_MAX = 1.500000 with string. +Test: multiplier -> ok +Test: t_sync_trans_old_new -> ok +Warning: wire '\o' is assigned in a block at < ok +Warning: No SAT model available for cell B_0 ($specrule). +Warning: No SAT model available for cell C_0 ($specrule). +Warning: No SAT model available for cell A_0 ($specify3). +Warning: No SAT model available for cell A_0 ($specify2). +Warning: No SAT model available for cell B_0 ($specify2). +Passed various-specify.ys +Warning: Critical-path does not terminate in a recognised endpoint. +Warning: Cell type 'const0' not recognised! Ignoring. +Passed various-sta.ys +Test: named_genblk -> ok +Test: macro_arg_surrounding_spaces -> ok +[88]KPassed various-struct_access.ys +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_reduce_bool_3u_3: ok +xprop_reduce_bool_3u_3: ok +Warning: Port directions for cell \s1 (\DFF) are unknown. Assuming inout for all ports. +Warning: Port directions for cell \s2 (\DFF) are unknown. Assuming inout for all ports. +Warning: Port directions for cell \s3 (\DFF) are unknown. Assuming inout for all ports. +Passed various-submod.ys +Passed various-submod_extract.ys +Test: loops -> ok +Test: t_sync_trans_old_none -> ok +Test: muxtree -> ok + ./iverilog-always_comb + grep -v '\$finish called' -[36]+ diff iverilog-always_comb.log yosys-always_comb.log +Passed various-sv_defines.ys +ERROR: Duplicate macro arguments with name `x'. +Expected error pattern 'Duplicate macro arguments with name `x'' found !!! +Passed various-sv_defines_dup.ys +ERROR: Mismatched brackets in macro argument: [ and }. +Expected error pattern 'Mismatched brackets in macro argument: \[ and }.' found !!! +Passed various-sv_defines_mismatch.ys +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +ERROR: Cannot expand macro `foo by giving only 1 argument (argument 2 has no default). +Expected error pattern 'Cannot expand macro `foo by giving only 1 argument \(argument 2 has no default\).' found !!! +Passed various-sv_defines_too_few.ys +xprop_reduce_bool_1u_1: ok +xprop_reduce_bool_1u_1: ok ++ diff iverilog-always_comb.log yosys-always_comb.log + ../../yosys -p 'read_verilog always_full.v; prep; clean' -o yosys-always_full-1.v +Passed various-tcl_apis.ys /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -63831,9 +63988,6 @@ -- Running command `read_verilog always_full.v; prep; clean' -- 1. Executing Verilog-2005 frontend: always_full.v -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Parsing Verilog input from `always_full.v' to AST representation. Generating RTLIL representation for module `\always_full'. Successfully finished Verilog frontend. @@ -63879,6 +64033,7 @@ Optimizing module always_full. 2.3. Executing FUTURE pass. +Passed various-wrapcell.ys 2.4. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. @@ -63961,7 +64116,6 @@ $print 207 2.13. Executing CHECK pass (checking for obvious problems). -xprop_eq_5s3_2: ok Checking module always_full... Found and reported 0 problems. @@ -63972,21 +64126,24 @@ 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. -xprop_eq_5s3_2: ok +Passed various-wreduce.ys +Passed various-wreduce2.ys Dumping module `\always_full'. -End of script. Logfile hash: 52e889d7da, CPU: user 0.09s system 0.01s, MEM: 10.76 MB peak +End of script. Logfile hash: 52e889d7da, CPU: user 0.07s system 0.01s, MEM: 13.44 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 19% 4x opt_clean (0 sec), 16% 1x prep (0 sec), ... -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Time spent: 21% 4x opt_clean (0 sec), 15% 1x prep (0 sec), ... +Test: param_attr -> ok + iverilog -o iverilog-always_full-1 yosys-always_full-1.v always_full_tb.v +Test: omsp_dbg_uart -> ok +Test: code_verilog_tutorial_multiply -> ok +Passed various-xaiger.ys +[47]+ ./iverilog-always_full-1 + grep -v '\$finish called' -+ ./iverilog-always_full-1 +Passed various-write_gzip.ys + diff iverilog-always_full.log iverilog-always_full-1.log + ../../yosys -p 'read_verilog display_lm.v' -+ ../../yosys -p 'read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' +K+ ../../yosys -p 'read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -64071,220 +64228,272 @@ 2.3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module top. +Test: t_sync_trans_new_old -> ok -End of script. Logfile hash: 15a147f3a6, CPU: user 0.01s system 0.01s, MEM: 11.37 MB peak +End of script. Logfile hash: 15a147f3a6, CPU: user 0.01s system 0.01s, MEM: 12.44 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 31% 1x opt_expr (0 sec), 21% 2x read_verilog (0 sec), ... +Time spent: 30% 1x opt_expr (0 sec), 20% 2x write_cxxrtl (0 sec), ... + gcc -std=c++11 -o yosys-display_lm_cc -I../../backends/cxxrtl/runtime display_lm_tb.cc -lstdc++ -Test: t_sync_2clk_shared -> ok -Test: vloghammer -> ok -[37]Test: wreduce -> ok +KTest: module_scope_case -> ok +Test: mem2reg_bounds_tern -> ok +Test: code_verilog_tutorial_n_out_primitive -> ok +xprop_reduce_bool_3s_3: ok +Test: code_verilog_tutorial_parallel_if -> ok +Test: macros -> ok +xprop_reduce_bool_3s_3: ok +Passed various-chparam.sh +[89]KK[90]xprop_reduce_bool_3s_1: ok +xprop_reduce_bool_3s_1: ok +[91]Test: t_sync_trans_new_new -> ok +Test: code_verilog_tutorial_simple_function -> ok +[48]Test: mem_arst -> ok +Test: code_verilog_tutorial_parity -> ok +Test: code_verilog_tutorial_mux_21 -> ok +Test: t_sync_trans_new_none -> ok +Test: module_scope_func -> ok +Passed various-clk2fflogic_effects.sh +xprop_logic_not_1u_1: ok +xprop_logic_not_1u_1: ok +Test: t_sp_nc_none -> ok +[49]Passed various-async.sh Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[38]K[39]Test: t_sync_trans_old_old -> ok -Passed nanoxplore-tribuf.ys -K[40]Test: t_sync_trans_old_new -> ok -K[41]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -xprop_ne_5u3_2: ok -xprop_ne_5u3_2: ok -Test: t_sync_trans_old_none -> ok -xprop_ne_5s3_2: ok -xprop_ne_5s3_2: ok -Test: rotate -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/simple' -...passed tests in tests/simple -[42]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +Passed various-hierarchy.sh +Test: module_scope -> ok +Passed various-logger_cmd_error.sh +[92]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sync_trans_new_old -> ok -K[43]+ ./yosys-display_lm_cc +Test: code_verilog_tutorial_task_global -> ok +[93]Passed various-logger_fail.sh +xprop_logic_not_3u_3: ok +xprop_logic_not_3u_3: ok +xprop_logic_and_1u1_1: ok +xprop_logic_and_1u1_1: ok +xprop_logic_not_3s_1: ok +Test: code_verilog_tutorial_simple_if -> ok +xprop_logic_not_3s_1: ok +Kxprop_logic_not_3s_3: ok +xprop_logic_not_3s_3: ok +Test: t_sp_new_none -> ok +Test: muxtree -> ok +[94]xprop_logic_and_3u3_3: ok +xprop_logic_and_3u3_3: ok +[95][96]Passed various-sv_implicit_ports.sh +Test: named_genblk -> ok ++ ./yosys-display_lm_cc +Passed various-svalways.sh + for log in yosys-display_lm.log yosys-display_lm_cc.log + grep '^%l: \\bot$' yosys-display_lm.log %l: \bot + grep '^%m: \\bot$' yosys-display_lm.log +Test: realexpr -> ok %m: \bot +Test: mem2reg -> ok + for log in yosys-display_lm.log yosys-display_lm_cc.log + grep '^%l: \\bot$' yosys-display_lm_cc.log +Test: t_sp_old_none -> ok %l: \bot %l: \bot + grep '^%m: \\bot$' yosys-display_lm_cc.log -Test: t_sync_trans_new_new -> ok %m: \bot %m: \bot ...passed tests in tests/fmt -[44]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -xprop_eqx_5u3_2: ok -xprop_eqx_5u3_2: ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -xprop_eqx_5s3_2: ok -xprop_eqx_5s3_2: ok -Test: t_sync_trans_new_none -> ok -K[45]Test: param_attr -> ok -Test: t_sp_nc_none -> ok -Test: t_sp_new_none -> ok -K[46]Kxprop_nex_5u3_2: ok -xprop_nex_5u3_2: ok -Test: t_sp_old_none -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: nested_genblk_resolve -> ok Test: paramods -> ok +[97][98]xprop_logic_and_3s3_3: ok +xprop_logic_and_3s3_3: ok Test: t_sp_nc_nc -> ok -Warning: Resizing cell port TB.uut.data_out from 18 bits to 36 bits. -Warning: Resizing cell port TB.uut.address_in_r from 11 bits to 10 bits. -K[47]Test: t_sp_new_nc -> ok -xprop_nex_5s3_2: ok -xprop_nex_5s3_2: ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[48]Test: t_sp_old_nc -> ok -Passed xilinx-bug1462.ys -K[49]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: retime -> ok +Test: code_verilog_tutorial_tri_buf -> ok +[99]Test: omsp_dbg_uart -> ok +Test: repwhile -> ok +Test: t_sp_new_new -> ok +Test: code_verilog_tutorial_v2k_reg -> ok +Test: multiplier -> ok Test: t_sp_nc_new -> ok -Warning: Wire top.\t is used but has no driver. -Warning: Wire top.\in is used but has no driver. -KTest: t_sp_new_new -> ok -xprop_ge_5u3_2: ok -xprop_ge_5u3_2: ok -KTest: t_sp_old_new -> ok -Passed xilinx-bug3670.ys -Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [17] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [16] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [15] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [14] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [13] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [12] is used but has no driver. -Passed qlf_k6n10f-t_mem0.ys -Test: t_sp_nc_old -> ok -KWarning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits. -xprop_ge_5s3_2: ok -xprop_ge_5s3_2: ok +Test: t_sp_old_nc -> ok +Test: t_sp_old_new -> ok +xprop_logic_and_3s3_1: ok +xprop_logic_and_3s3_1: ok +KTest: t_sp_new_nc -> ok +KTest: t_sp_nc_old -> ok +Test: code_verilog_tutorial_which_clock -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/asicworld' +...passed tests in tests/asicworld +Test: process -> ok +Test: param_attr -> ok +Test: signed_full_slice -> ok +Test: sign_part_assign -> ok Test: t_sp_new_old -> ok -Passed xilinx-bug1480.ys +xprop_logic_or_1u1_1: ok +xprop_logic_or_1u1_1: ok +Test: scopes -> ok Test: t_sp_nc_new_only -> ok -Test: t_sp_old_old -> ok -xprop_gt_5u3_2: ok -xprop_gt_5u3_2: ok Test: t_sp_new_new_only -> ok +Test: t_sp_old_old -> ok +Passed various-plugin.sh +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/various' +...passed tests in tests/various +xprop_logic_or_3s3_1: ok +xprop_logic_or_3s3_1: ok +xprop_logic_or_3u3_3: ok +xprop_logic_or_3u3_3: ok +Warning: Resizing cell port TB.uut.address_in_w from 11 bits to 10 bits. +Warning: Resizing cell port TB.uut.data_in from 18 bits to 36 bits. +Test: specify -> ok +xprop_logic_or_3s3_3: ok +xprop_logic_or_3s3_3: ok Test: t_sp_old_new_only -> ok -Test: t_sp_new_new_only_be -> ok +xprop_shl_4u3u_3: ok +Test: signedexpr -> ok +xprop_shl_4u3u_3: ok +xprop_shl_4s3u_3: ok +xprop_shl_4s3u_3: ok +xprop_shr_4u3u_3: ok +xprop_shr_4u3u_3: ok Test: t_sp_nc_new_only_be -> ok +Test: t_sp_new_new_only_be -> ok +xprop_sshl_4s3u_3: ok +xprop_sshl_4s3u_3: ok +Test: string_format -> ok Test: process -> ok -Test: operators -> ok +xprop_shr_4s3u_3: ok +xprop_shr_4s3u_3: ok +xprop_sshl_4u3u_3: ok +xprop_sshl_4u3u_3: ok +Test: paramods -> ok Test: t_sp_old_new_only_be -> ok -xprop_gt_5s3_2: ok -xprop_gt_5s3_2: ok -Test: retime -> ok Test: realexpr -> ok -Test: t_sp_nc_new_be -> ok Test: repwhile -> ok -Passed xilinx-bug1605.ys +xprop_sshr_4u3u_3: ok +xprop_sshr_4u3u_3: ok +Test: undef_eqx_nex -> ok Test: t_sp_new_new_be -> ok -Test: scopes -> ok -xprop_reduce_and_3u_3: ok -xprop_reduce_and_3u_3: ok -Test: sign_part_assign -> ok +xprop_sshr_4s3u_3: ok +xprop_sshr_4s3u_3: ok +Test: operators -> ok +Test: t_sp_nc_new_be -> ok +Test: retime -> ok Test: t_sp_old_new_be -> ok +Test: usb_phy_tests -> ok Test: t_sp_nc_old_be -> ok -Test: signedexpr -> ok -Test: signed_full_slice -> ok +Warning: Shift register inference not yet supported for family xc3s. Test: t_sp_new_old_be -> ok -Kxprop_reduce_and_3s_3: ok -xprop_reduce_and_3s_3: ok +xprop_shift_4s3u_3: ok +xprop_shift_4s3u_3: ok +xprop_shift_4u3u_3: ok +xprop_shift_4u3u_3: ok Test: t_sp_old_old_be -> ok -Test: string_format -> ok -Test: t_sp_nc_nc_be -> ok -Test: t_sp_new_nc_be -> ok -xprop_reduce_or_3u_3: ok -xprop_reduce_or_3u_3: ok Test: subbytes -> ok -Test: t_sp_old_nc_be -> ok -KTest: t_sp_nc_auto -> ok -K +Test: t_sp_new_nc_be -> ok +Test: t_sp_nc_nc_be -> ok +T make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/fsm' ...passed tests in tests/fsm +Passed qlf_k6n10f-t_mem4.ys +Test: sign_part_assign -> ok +xprop_shift_4s2s_8: ok +xprop_shift_4s2s_8: ok +xprop_shift_4u2s_8: ok +xprop_shift_4u2s_8: ok +Test: verilog_primitives -> ok +Test: t_sp_old_nc_be -> ok +Test: string_format -> ok +Test: sincos -> ok +Test: scopes -> ok +Test: values -> ok +Test: t_sp_nc_auto -> ok +Test: task_func -> ok +Test: signedexpr -> ok +Passed qlf_k6n10f-t_mem5.ys +Test: undef_eqx_nex -> ok Test: t_sp_new_auto -> ok -xprop_reduce_or_3s_3: ok -xprop_reduce_or_3s_3: ok -Passed xilinx-bug1598.ys Test: t_sp_old_auto -> ok -Test: rotate -> ok -xprop_reduce_xor_3u_3: ok -xprop_reduce_xor_3u_3: ok -Test: t_sp_nc_auto_be -> ok -Test: undef_eqx_nex -> ok -Passed xilinx-counter.ys -Test: task_func -> ok -xprop_reduce_xor_3s_3: ok -xprop_reduce_xor_3s_3: ok +Test: subbytes -> ok +xprop_shift_4u3s_3: ok Test: t_sp_new_auto_be -> ok +xprop_shift_4u3s_3: ok +Test: t_sp_nc_auto_be -> ok +Test: memory -> ok Test: usb_phy_tests -> ok +Passed qlf_k6n10f-t_mem3.ys Test: t_sp_old_auto_be -> ok +Test: signed_full_slice -> ok Test: t_sp_init_x_x -> ok -xprop_reduce_xnor_3u_3: ok -xprop_reduce_xnor_3u_3: ok +xprop_shift_4s3s_3: ok +xprop_shift_4s3s_3: ok Test: t_sp_init_x_x_re -> ok -Test: values -> ok -xprop_reduce_xnor_3s_3: ok -xprop_reduce_xnor_3s_3: ok -Test: verilog_primitives -> ok -Test: t_sp_init_x_x_ce -> ok -xprop_reduce_bool_1u_1: ok -xprop_reduce_bool_1u_1: ok +xprop_shiftx_4u2s_8: ok +xprop_shiftx_4u2s_8: ok Test: t_sp_init_0_x -> ok -xprop_reduce_bool_3u_3: ok -xprop_reduce_bool_3u_3: ok -Test: vloghammer -> ok Test: wandwor -> ok +xprop_mux_1: ok +xprop_mux_1: ok Test: t_sp_init_0_x_re -> ok -Test: arrays02 -> ok +Test: t_sp_init_x_x_ce -> ok Test: t_sp_init_0_0 -> ok -xprop_reduce_bool_3s_3: ok -xprop_reduce_bool_3s_3: ok -xprop_reduce_bool_3s_1: ok -xprop_reduce_bool_3s_1: ok +xprop_shiftx_4u3s_3: ok +xprop_shiftx_4u3s_3: ok +Test: verilog_primitives -> ok +xprop_bmux_1_2: ok +xprop_bmux_1_2: ok +Test: wreduce -> ok +xprop_bmux_3_1: ok +xprop_bmux_3_1: ok +xprop_mux_3: ok +xprop_mux_3: ok +Test: values -> ok Test: t_sp_init_0_0_re -> ok -Test: case_expr_extend -> ok -Passed xilinx-dsp_fastfir.ys Test: t_sp_init_0_any -> ok -Test: sincos -> ok +Passed qlf_k6n10f-t_mem2.ys +xprop_bmux_2_2: ok +xprop_bmux_2_2: ok +Test: memory -> ok Test: t_sp_init_0_any_re -> ok -Test: arrays03 -> ok -xprop_logic_not_1u_1: ok -xprop_logic_not_1u_1: ok -Test: wreduce -> ok Test: t_sp_init_v_x -> ok -Test: case_expr_query -> ok -Test: implicit_ports -> ok +Test: rotate -> ok +Test: vloghammer -> ok +xprop_demux_1_2: ok +xprop_demux_1_2: ok +Test: task_func -> ok +xprop_pmux_2_2: ok +xprop_pmux_2_2: ok +xprop_pmux_1_4: ok +xprop_pmux_1_4: ok Test: t_sp_init_v_x_re -> ok -Test: lesser_size_cast -> ok -Test: defvalue -> ok -Test: local_loop_var -> ok +Test: arrays02 -> ok +xprop_bwmux_1: ok +xprop_bwmux_1: ok +xprop_pmux_3_1: ok +xprop_pmux_3_1: ok +xprop_demux_3_1: ok +xprop_demux_3_1: ok Test: t_sp_init_v_0 -> ok -xprop_logic_not_3u_3: ok -xprop_logic_not_3u_3: ok -Test: matching_end_labels -> ok +xprop_bweqx_1: ok +xprop_bweqx_1: ok +xprop_ff_1: ok +xprop_ff_1: ok +xprop_bweqx_3: ok +xprop_bweqx_3: ok Test: t_sp_init_v_0_re -> ok -Test: memwr_port_connection -> ok -Test: unnamed_block_decl -> ok +Test: wandwor -> ok Test: t_sp_init_v_any -> ok +xprop_demux_2_2: ok +xprop_demux_2_2: ok +Test: rotate -> ok +xprop_pmux_4_4: ok +xprop_ff_3: ok +xprop_pmux_4_4: ok +xprop_ff_3: ok +Test: case_expr_extend -> ok +xprop_bwmux_3: ok +xprop_bwmux_3: ok +Test: case_expr_query -> ok +Test: sincos -> ok Randomized tests for value::shl: Test passed @ Bits = 8. Test passed @ Bits = 32. @@ -64346,7 +64555,6 @@ Test passed @ Bits = 63. Test passed @ Bits = 64. + ../../yosys -p 'read_verilog test_unconnected_output.v; proc; clean; write_cxxrtl cxxrtl-test-unconnected_output.cc' -Test: asgn_binop -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -64447,622 +64655,444 @@ 3.3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module unconnected_output. +Warning: Resizing cell port sp_write_first.mem.0.0.BWE_A from 8 bits to 9 bits. Warnings: 2 unique messages, 2 total -End of script. Logfile hash: 91df85c4b8, CPU: user 0.01s system 0.01s, MEM: 12.43 MB peak +End of script. Logfile hash: 91df85c4b8, CPU: user 0.02s system 0.00s, MEM: 11.97 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -Time spent: 30% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... +Time spent: 32% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... +Test: local_loop_var -> ok + gcc -std=c++11 -c -o cxxrtl-test-unconnected_output -I../../backends/cxxrtl/runtime cxxrtl-test-unconnected_output.cc -xprop_logic_not_3s_3: ok -xprop_logic_not_3s_3: ok -Passed nanoxplore-lutram.ys -Warning: Shift register inference not yet supported for family xc3se. Test: t_sp_init_v_any_re -> ok -Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 +Test: lesser_size_cast -> ok Test: t_sp_arst_x_x -> ok -Passed nanoxplore-mux.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/nanoxplore' -...passed tests in tests/arch/nanoxplore +Test: implicit_ports -> ok +xprop_dff_1pd: ok +xprop_dff_1nd: ok +xprop_dff_1pd: ok +xprop_dff_1nd: ok Test: t_sp_arst_x_x_re -> ok -xprop_logic_not_3s_1: ok -xprop_logic_not_3s_1: ok -Test: t_sp_arst_0_x -> ok -Test: macro_arg_spaces -> ok +xprop_dff_3nd: ok +xprop_dff_3nd: ok +Passed xilinx-blockram.ys Test: t_sp_arst_0_x_re -> ok +Passed qlf_k6n10f-t_mem1.ys Test: t_sp_arst_0_0 -> ok +Test: t_sp_arst_0_x -> ok +Test: vloghammer -> ok +xprop_dff_3pd: ok +xprop_dff_3pd: ok Test: t_sp_arst_0_0_re -> ok -xprop_logic_and_1u1_1: ok -xprop_logic_and_1u1_1: ok +Test: defvalue -> ok +xprop_dffe_1pnd: ok +xprop_dffe_1pnd: ok +xprop_dffe_1nnd: ok +xprop_dffe_1nnd: ok Test: t_sp_arst_0_any -> ok -Passed qlf_k6n10f-t_mem5.ys +Test: arrays03 -> ok +Test: matching_end_labels -> ok Test: t_sp_arst_0_any_re -> ok -xprop_logic_and_3u3_3: ok -xprop_logic_and_3u3_3: ok -Warning: Shift register inference not yet supported for family xc3se. +Passed xilinx-lutram.ys +Test: unnamed_block_decl -> ok +Test: wreduce -> ok Test: t_sp_arst_0_init -> ok -...passed tests in tests/cxxrtl -Passed xilinx-dsp_simd.ys -xprop_logic_and_3s3_3: ok -xprop_logic_and_3s3_3: ok +xprop_dffe_3nnd: ok +xprop_dffe_3nnd: ok +xprop_dffe_1npd: ok +xprop_dffe_1npd: ok +xprop_dffe_3pnd: ok +xprop_dffe_3pnd: ok +xprop_dffe_1ppd: ok +xprop_dffe_1ppd: ok +Test: memwr_port_connection -> ok Test: t_sp_arst_0_init_re -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/opt_share' + +...passed tests in tests/opt_share Test: t_sp_arst_v_x -> ok -Passed xilinx-logic.ys +Test: t_sp_arst_e_0_re -> ok Test: t_sp_arst_v_x_re -> ok -Passed xilinx-opt_lut_ins.ys -xprop_logic_and_3s3_1: ok -xprop_logic_and_3s3_1: ok -Test: t_sp_arst_v_0 -> ok -xprop_logic_or_1u1_1: ok -xprop_logic_or_1u1_1: ok -Test: t_sp_arst_v_0_re -> ok Test: t_sp_arst_v_any -> ok -xprop_logic_or_3u3_3: ok -xprop_logic_or_3u3_3: ok -xprop_logic_or_3s3_3: ok -xprop_logic_or_3s3_3: ok -Test: t_sp_arst_v_any_re -> ok -Test: t_sp_arst_v_init -> ok -Test: t_sp_arst_v_init_re -> ok -xprop_logic_or_3s3_1: ok -xprop_logic_or_3s3_1: ok -Passed xilinx-fsm.ys -Test: t_sp_arst_e_x -> ok -xprop_shl_4u3u_3: ok -xprop_shl_4u3u_3: ok +...passed tests in tests/cxxrtl Test: t_sp_arst_e_x_re -> ok -/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. +Test: macro_arg_spaces -> ok +Test: operators -> ok +Test: t_sp_arst_e_x -> ok +Test: t_sp_arst_n_x -> ok +Test: t_sp_arst_n_init_re -> ok +Test: t_sp_arst_v_any_re -> ok +Test: t_sp_arst_n_any -> ok +Test: t_sp_arst_n_init -> ok Test: t_sp_arst_e_0 -> ok -Test: t_sp_arst_e_0_re -> ok -xprop_shr_4u3u_3: ok -xprop_shl_4s3u_3: ok -xprop_shr_4u3u_3: ok -xprop_shl_4s3u_3: ok +xprop_dffe_3npd: ok +xprop_dffe_3npd: ok +xprop_dffe_3ppd: ok +xprop_dffe_3ppd: ok +done +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/xprop' +...passed tests in tests/xprop +Test: t_sp_arst_v_init_re -> ok +Warning: Resizing cell port TB.uut.address_in_w from 10 bits to 8 bits. +Warning: Resizing cell port TB.uut.data_in from 8 bits to 32 bits. Test: t_sp_arst_e_any -> ok +Test: t_sp_arst_v_init -> ok +Test: t_sp_srst_x_x -> ok +Test: t_sp_arst_n_x_re -> ok Test: t_sp_arst_e_any_re -> ok -Test: t_sp_arst_e_init -> ok -xprop_sshl_4u3u_3: ok -xprop_sshl_4u3u_3: ok -xprop_shr_4s3u_3: ok -xprop_shr_4s3u_3: ok Test: t_sp_arst_e_init_re -> ok -/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Test: t_sp_arst_n_x -> ok -Test: t_sp_arst_n_x_re -> ok -xprop_sshr_4u3u_3: ok -xprop_sshr_4u3u_3: ok -xprop_sshl_4s3u_3: ok -xprop_sshl_4s3u_3: ok +Test: t_sp_srst_0_x -> ok +Test: t_sp_arst_e_init -> ok +Test: t_sp_arst_v_0_re -> ok +Test: t_sp_arst_n_any_re -> ok +Test: t_sp_arst_v_0 -> ok +Test: t_sp_srst_0_0 -> ok Test: t_sp_arst_n_0 -> ok -Passed xilinx-dsp_abc9.ys Test: t_sp_arst_n_0_re -> ok -Test: t_sp_arst_n_any -> ok -Passed xilinx-mul.ys -Warning: Resizing cell port priority_memory.mem.0.0.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.0.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.1.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.1.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.2.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.2.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.3.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.3.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.4.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.4.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.5.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.5.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.6.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.6.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.7.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.7.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.WEBWE from 4 bits to 8 bits. -Passed xilinx-xilinx_dsp.ys -Passed qlf_k6n10f-t_mem6.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/quicklogic/qlf_k6n10f' -...passed tests in tests/arch/quicklogic/qlf_k6n10f -Test: t_sp_arst_n_any_re -> ok -Passed xilinx-xilinx_srl.ys -xprop_sshr_4s3u_3: ok -xprop_sshr_4s3u_3: ok -Test: t_sp_arst_n_init -> ok -Test: t_sp_arst_n_init_re -> ok -Test: t_sp_srst_x_x -> ok -xprop_shift_4u3u_3: ok -xprop_shift_4u3u_3: ok +Test: asgn_binop -> ok Test: t_sp_srst_x_x_re -> ok -Test: t_sp_srst_0_x -> ok -Passed xilinx-shifter.ys Test: t_sp_srst_0_x_re -> ok -Test: t_sp_srst_0_0 -> ok -xprop_shift_4s3u_3: ok -xprop_shift_4s3u_3: ok -Test: t_sp_srst_0_0_re -> ok -Test: t_sp_srst_0_any -> ok -Passed xilinx-nosrl.ys -/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.51/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Test: t_sp_srst_0_init -> ok +Test: partsel -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/simple' +...passed tests in tests/simple Test: t_sp_srst_0_any_re -> ok -Test: t_sp_srst_0_init_re -> ok -Passed xilinx-latches.ys -Test: t_sp_srst_v_x_re -> ok +Test: t_sp_srst_v_any_re_gated -> ok +Test: t_sp_srst_0_0_re -> ok Test: t_sp_srst_v_x -> ok -Test: t_sp_srst_v_0 -> ok -Passed xilinx-xilinx_dffopt.ys -Test: t_sp_srst_v_0_re -> ok Test: t_sp_srst_v_any -> ok -xprop_shift_4u2s_8: ok -xprop_shift_4u2s_8: ok -Test: t_sp_srst_v_any_re -> ok -Test: t_sp_srst_v_any_re_gated -> ok -Test: t_sp_srst_v_any_ce -> ok -Test: t_sp_srst_v_any_ce_gated -> ok -Test: t_sp_srst_v_init -> ok -Test: t_sp_srst_v_init_re -> ok -Test: t_sp_srst_e_x -> ok -Warning: Resizing cell port priority_memory.mem.0.0.BWE_A from 8 bits to 9 bits. -Warning: Resizing cell port priority_memory.mem.0.0.BWE_B from 8 bits to 9 bits. -xprop_shift_4s2s_8: ok -xprop_shift_4s2s_8: ok -Test: t_sp_srst_e_x_re -> ok +Test: t_sp_srst_e_any_re -> ok +Passed qlf_k6n10f-t_mem6.ys Test: t_sp_srst_e_0 -> ok Test: t_sp_srst_e_0_re -> ok -Test: t_sp_srst_e_any -> ok -Test: t_sp_srst_e_any_re -> ok -Test: t_sp_srst_e_init -> ok -Test: t_sp_srst_e_init_re -> ok -xprop_shift_4u3s_3: ok -xprop_shift_4u3s_3: ok -Test: t_sp_srst_n_x -> ok -Test: t_sp_srst_n_x_re -> ok -Test: t_sp_srst_n_0 -> ok +Warning: Resizing cell port sp_read_first.mem.0.0.BWE_B from 8 bits to 9 bits. +Test: t_sp_srst_v_any_re -> ok +Passed xilinx-priority_memory.ys +Test: t_sp_srst_v_0_re -> ok Test: t_sp_srst_n_0_re -> ok -Test: t_sp_srst_n_any -> ok -Test: t_sp_srst_n_init -> ok +Test: t_sp_srst_0_init -> ok +Test: t_sp_srst_v_init -> ok +Test: t_sp_srst_e_x -> ok Test: t_sp_srst_n_any_re -> ok +Test: t_sp_srst_n_0 -> ok +Test: t_sp_srst_v_init_re -> ok +Test: t_sp_srst_v_any_ce_gated -> ok +Test: t_sp_srst_v_0 -> ok +Test: t_sp_srst_n_x_re -> ok +Test: t_sp_srst_e_init_re -> ok Test: t_sp_srst_n_init_re -> ok -xprop_shift_4s3s_3: ok -xprop_shift_4s3s_3: ok -Passed xilinx-tribuf.ys -Test: t_sp_srst_gv_x -> ok -Passed xilinx-dffs.ys +Test: t_sp_srst_0_any -> ok Test: t_sp_srst_gv_x_re -> ok +Test: t_sp_srst_e_init -> ok +Test: t_sp_srst_gv_x -> ok +Test: t_sp_srst_n_any -> ok +Test: t_sp_srst_0_init_re -> ok +Test: t_sp_srst_n_init -> ok Test: t_sp_srst_gv_0 -> ok -Test: t_sp_srst_gv_0_re -> ok -Passed xilinx-macc.ys +Test: t_sp_srst_e_x_re -> ok +Test: t_sp_srst_v_any_ce -> ok +Test: t_sp_srst_e_any -> ok +Test: t_sp_srst_v_x_re -> ok +Test: t_sp_srst_n_x -> ok Test: t_sp_srst_gv_any_re -> ok -Test: t_sp_srst_gv_any -> ok -Test: t_sp_srst_gv_any_re_gated -> ok -Test: t_sp_srst_gv_any_ce -> ok -Test: t_sp_srst_gv_any_ce_gated -> ok -xprop_shiftx_4u2s_8: ok -xprop_shiftx_4u2s_8: ok -Test: t_sp_srst_gv_init_re -> ok -Test: t_sp_srst_gv_init -> ok -Test: t_wren_a4d4_NO_BYTE -> ok -xprop_mux_1: ok -xprop_mux_1: ok -Test: t_wren_a5d4_NO_BYTE -> ok -Test: t_wren_a6d4_NO_BYTE -> ok -xprop_shiftx_4u3s_3: ok -xprop_shiftx_4u3s_3: ok Test: t_wren_a4d4_W4_B4 -> ok -Test: t_wren_a4d8_W4_B4_separate -> ok -Test: t_wren_a3d8_NO_BYTE -> ok -Test: t_wren_a4d8_NO_BYTE -> ok -Test: t_wren_a4d8_W8_B4 -> ok -xprop_mux_3: ok -xprop_mux_3: ok -Test: t_wren_a4d8_W8_B8 -> ok Test: t_wren_a4d8_W8_B4_separate -> ok -Test: t_wren_a4d8_W8_B8_separate -> ok -Test: t_wren_a4d2w8_W16_B4_separate -> ok Test: t_wren_a4d2w8_W16_B4 -> ok -Test: t_wren_a4d4w4_W16_B4_separate -> ok -xprop_bmux_1_2: ok -xprop_bmux_1_2: ok -Test: t_wren_a4d4w4_W16_B4 -> ok -Test: t_wren_a5d4w2_W16_B4 -> ok -Test: t_wren_a5d4w2_W16_B4_separate -> ok +Test: t_wren_a4d8_W4_B4_separate -> ok Test: t_wren_a5d4w4_W16_B4 -> ok -Test: t_wren_a5d4w4_W16_B4_separate -> ok -Test: t_wren_a4d8w2_W16_B4 -> ok -xprop_bmux_3_1: ok -xprop_bmux_3_1: ok -Test: t_wren_a4d8w2_W16_B4_separate -> ok -Test: t_wren_a5d8w1_W16_B4 -> ok -Passed xilinx-pmgen_xilinx_srl.ys -xprop_bmux_2_2: ok -xprop_bmux_2_2: ok +Test: t_wren_a6d4_NO_BYTE -> ok Test: t_wren_a5d8w1_W16_B4_separate -> ok -Test: t_wren_a5d8w2_W16_B4 -> ok -Test: t_wren_a5d8w2_W16_B4_separate -> ok +Test: t_wren_a4d8_W8_B8 -> ok +Test: t_wren_a4d4w2_W8_B8_separate -> ok +Test: t_wren_a5d4_NO_BYTE -> ok +Test: t_wren_a5d4w2_W16_B4 -> ok +Test: t_wren_a4d8_W8_B8_separate -> ok +Test: t_wren_a5d8w1_W16_B4 -> ok +Test: t_sp_srst_gv_any_ce -> ok +Test: t_sp_srst_gv_any_ce_gated -> ok Test: t_wren_a4d16w1_W16_B4 -> ok -Test: t_wren_a4d16w1_W16_B4_separate -> ok +Test: t_wren_a4d8w2_W16_B4_separate -> ok Test: t_wren_a4d4w2_W8_B8 -> ok -Passed xilinx-mul_unsigned.ys -xprop_demux_1_2: ok -xprop_demux_1_2: ok -Test: t_wren_a4d4w2_W8_B8_separate -> ok +Test: t_wren_a5d8w2_W16_B4_separate -> ok Test: t_wren_a4d4w1_W8_B8 -> ok +Test: t_wren_a4d4w4_W16_B4 -> ok +Test: t_sp_srst_gv_any_re_gated -> ok +Test: t_wren_a4d8_NO_BYTE -> ok +Test: t_sp_srst_gv_init_re -> ok +Test: t_sp_srst_gv_init -> ok +Test: t_wren_a4d16w1_W16_B4_separate -> ok +Test: t_wren_a4d4_NO_BYTE -> ok +Test: t_sp_srst_gv_any -> ok +Test: t_sp_srst_gv_0_re -> ok +Test: t_wren_a5d4w2_W16_B4_separate -> ok +Test: t_wren_a5d4w4_W16_B4_separate -> ok +Test: t_wren_a4d4w4_W16_B4_separate -> ok +Test: t_wren_a5d8w2_W16_B4 -> ok +Test: t_wren_a4d8w2_W16_B4 -> ok +Test: t_wren_a3d8_NO_BYTE -> ok +Test: t_wren_a4d8_W8_B4 -> ok +Test: t_wren_a4d2w8_W16_B4_separate -> ok +Test: t_geom_a4d4_9b1B -> ok +Test: t_geom_a4d64_wren -> ok +Test: t_wren_a3d8w2_W8_B8_separate -> ok Test: t_wren_a4d4w1_W8_B8_separate -> ok -Test: t_wren_a4d8w2_W8_B8 -> ok Test: t_wren_a4d8w2_W8_B8_separate -> ok -Test: t_wren_a3d8w2_W8_B8 -> ok -Test: t_wren_a3d8w2_W8_B8_separate -> ok -Test: t_wren_a4d4w2_W8_B4 -> ok Test: t_wren_a4d4w2_W8_B4_separate -> ok +Passed verilog-dynamic_range_lhs.sh +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/verilog' +...passed tests in tests/verilog +Test: t_wren_a4d8w2_W8_B8 -> ok +Test: t_geom_a9d5_wren -> ok +Warning: Resizing cell port TB.uut.data_out from 18 bits to 36 bits. +Test: t_geom_a5d64_wren -> ok +Warning: Resizing cell port TB.uut.address_in_r from 11 bits to 10 bits. +Test: t_geom_a6d16_wren -> ok +Test: t_geom_a7d11_9b1B -> ok Test: t_wren_a4d4w4_W8_B4_separate -> ok +Test: t_geom_a8d6_wren -> ok +Test: t_geom_a6d4_9b1B -> ok +Test: t_geom_a7d8_wren -> ok +Test: t_geom_a4d18_9b1B -> ok +Test: t_geom_a5d32_wren -> ok +Test: t_geom_a9d4_wren -> ok +Test: t_geom_a7d17_wren -> ok +Test: t_wren_a4d4w5_W4_B4 -> ok +Test: t_geom_a6d64_wren -> ok Test: t_wren_a4d4w4_W8_B4 -> ok Test: t_wren_a4d2w4_W8_B4 -> ok -Test: t_wren_a4d2w4_W8_B4_separate -> ok -Test: t_wren_a4d4w4_W4_B4 -> ok -xprop_pmux_1_4: ok -xprop_pmux_1_4: ok -Test: t_wren_a4d4w4_W4_B4_separate -> ok -xprop_demux_3_1: ok -xprop_demux_3_1: ok -Test: t_wren_a4d4w5_W4_B4 -> ok -xprop_demux_2_2: ok -xprop_demux_2_2: ok -Test: t_geom_a4d64_wren -> ok -Test: t_geom_a6d16_wren -> ok -Test: t_geom_a5d32_wren -> ok -Test: t_wren_a4d4w5_W4_B4_separate -> ok -Test: t_geom_a5d64_wren -> ok -xprop_pmux_2_2: ok -Passed xilinx-tribuf.sh -xprop_pmux_2_2: ok -Passed xilinx-mux_lut4.ys Test: t_geom_a6d30_wren -> ok -Test: t_geom_a7d4_wren -> ok -Test: t_geom_a6d64_wren -> ok -Test: t_geom_a8d4_wren -> ok -Test: t_geom_a7d6_wren -> ok -Test: t_geom_a7d8_wren -> ok -Test: t_geom_a7d17_wren -> ok -Test: t_geom_a8d6_wren -> ok -Test: t_geom_a9d4_wren -> ok +Test: t_wren_a4d4w5_W4_B4_separate -> ok +Test: t_wren_a3d8w2_W8_B8 -> ok +Test: t_geom_a5d32_9b1B -> ok +Test: t_wren_a4d4w4_W4_B4_separate -> ok Test: t_geom_a9d8_wren -> ok +Test: t_geom_a8d4_wren -> ok Test: t_geom_a3d18_9b1B -> ok -Test: t_geom_a4d4_9b1B -> ok -Test: t_geom_a9d5_wren -> ok -Test: t_geom_a4d18_9b1B -> ok Test: t_geom_a9d6_wren -> ok -Test: t_geom_a5d32_9b1B -> ok -Test: t_geom_a6d4_9b1B -> ok -xprop_pmux_3_1: ok -xprop_pmux_3_1: ok -xprop_bwmux_1: ok -xprop_bwmux_1: ok -Test: t_geom_a7d11_9b1B -> ok -Test: t_wide_sdp_a7r1w1b1x1 -> ok -Test: t_wide_sdp_a6r1w1b1x1 -> ok +Test: t_geom_a7d6_wren -> ok +Test: t_geom_a7d4_wren -> ok +Test: t_wren_a4d2w4_W8_B4_separate -> ok +Test: t_wren_a4d4w2_W8_B4 -> ok +Test: t_wren_a4d4w4_W4_B4 -> ok Test: t_geom_a7d18_9b1B -> ok +Test: t_wide_sdp_a6r0w1b0x0 -> ok +Test: t_wide_sdp_a7r5w0b0x0 -> ok +Test: t_wide_sp_mix_a6r0w0b0 -> ok +Test: t_wide_sdp_a7r1w0b0x0 -> ok +Test: t_wide_sdp_a6r0w2b0x0 -> ok +Test: t_wide_sp_mix_a6r3w0b0 -> ok +Test: t_wide_sdp_a6r4w0b0x0 -> ok +Test: t_wide_sdp_a7r3w0b0x0 -> ok +Test: t_wide_sdp_a7r0w1b1x0 -> ok +Test: t_wide_sdp_a6r1w1b1x1 -> ok Test: t_geom_a11d1_9b1B -> ok +Test: t_wide_sp_mix_a7r1w1b1 -> ok Test: t_wide_sdp_a8r1w1b1x1 -> ok -Test: t_wide_sdp_a6r0w0b0x0 -> ok -Test: t_wide_sdp_a6r1w0b0x0 -> ok -xprop_bweqx_1: ok -xprop_bweqx_1: ok -xprop_bwmux_3: ok -xprop_bwmux_3: ok +Test: t_wide_sdp_a6r0w3b2x0 -> ok +Test: t_wide_sdp_a6r0w2b2x0 -> ok +Test: t_wide_sp_mix_a8r1w1b1 -> ok +Test: t_wide_sp_mix_a6r2w0b0 -> ok Test: t_wide_sdp_a6r2w0b0x0 -> ok -xprop_pmux_4_4: ok -xprop_pmux_4_4: ok +Test: t_wide_sdp_a7r0w0b0x0 -> ok +Test: t_wide_sdp_a7r0w3b2x0 -> ok +Test: t_wide_sp_mix_a6r1w0b0 -> ok +Test: t_wide_sdp_a7r0w2b2x0 -> ok Test: t_wide_sdp_a6r3w0b0x0 -> ok +Test: t_wide_sdp_a6r0w0b0x0 -> ok +Test: t_wide_sdp_a7r1w1b1x1 -> ok +Test: t_wide_sp_mix_a6r1w1b1 -> ok +Test: t_wide_sdp_a6r1w0b0x0 -> ok Test: t_wide_sdp_a6r0w1b1x0 -> ok -Test: t_wide_sdp_a6r5w0b0x0 -> ok -Test: t_wide_sdp_a6r4w0b0x0 -> ok -Test: t_wide_sdp_a6r0w1b0x0 -> ok -Test: t_wide_sdp_a6r0w2b0x0 -> ok -Test: t_wide_sdp_a6r0w2b2x0 -> ok -Test: t_wide_sdp_a6r0w3b2x0 -> ok -xprop_bweqx_3: ok -xprop_bweqx_3: ok -xprop_ff_1: ok -xprop_ff_1: ok -Test: t_wide_sdp_a6r0w4b2x0 -> ok -Test: t_wide_sdp_a7r0w0b0x0 -> ok -Test: t_wide_sdp_a7r1w0b0x0 -> ok -Test: t_wide_sdp_a7r3w0b0x0 -> ok Test: t_wide_sdp_a7r2w0b0x0 -> ok -Test: t_wide_sdp_a6r0w5b2x0 -> ok +Test: t_wide_sdp_a6r5w0b0x0 -> ok Test: t_wide_sdp_a7r4w0b0x0 -> ok -xprop_ff_3: ok -xprop_ff_3: ok -Test: t_wide_sdp_a7r0w1b0x0 -> ok -Test: t_wide_sdp_a7r5w0b0x0 -> ok +Test: t_wide_sdp_a7r0w5b2x0 -> ok +Test: t_wide_sdp_a6r0w4b2x0 -> ok Test: t_wide_sdp_a7r0w2b0x0 -> ok -Test: t_wide_sdp_a7r0w1b1x0 -> ok -Test: t_wide_sdp_a7r0w2b2x0 -> ok -Passed xilinx-macc.sh -xprop_dff_1pd: ok -xprop_dff_1pd: ok -Test: t_wide_sdp_a7r0w3b2x0 -> ok -xprop_dff_1nd: ok -xprop_dff_1nd: ok Test: t_wide_sdp_a7r0w4b2x0 -> ok -Test: t_wide_sp_mix_a6r1w1b1 -> ok -Test: t_wide_sp_mix_a7r1w1b1 -> ok -Test: t_wide_sp_mix_a8r1w1b1 -> ok -Test: t_wide_sdp_a7r0w5b2x0 -> ok -Test: t_wide_sp_mix_a6r0w0b0 -> ok -xprop_dffe_1pnd: ok -xprop_dffe_1pnd: ok -xprop_dff_3pd: ok -xprop_dff_3pd: ok -Test: t_wide_sp_mix_a6r1w0b0 -> ok -xprop_dff_3nd: ok -xprop_dff_3nd: ok -Test: t_wide_sp_mix_a6r2w0b0 -> ok -xprop_dffe_1nnd: ok -xprop_dffe_1nnd: ok -Test: t_wide_sp_mix_a6r3w0b0 -> ok +Test: t_wide_sdp_a6r0w5b2x0 -> ok +Test: t_wide_sdp_a7r0w1b0x0 -> ok Test: t_wide_sp_mix_a6r4w0b0 -> ok -Test: t_wide_sp_mix_a6r0w1b0 -> ok +Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [17] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [16] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [15] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [14] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [13] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:513:run$141084 [12] is used but has no driver. +Passed qlf_k6n10f-t_mem0.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/quicklogic/qlf_k6n10f' +...passed tests in tests/arch/quicklogic/qlf_k6n10f +Test: t_wide_sp_mix_a7r0w0b0 -> ok +Test: t_wide_sp_mix_a7r0w2b2 -> ok +Test: t_wide_sp_tied_a8r1w1b1 -> ok Test: t_wide_sp_mix_a6r0w1b1 -> ok -Test: t_wide_sp_mix_a6r5w0b0 -> ok -Test: t_wide_sp_mix_a6r0w2b0 -> ok +Test: t_wide_sp_mix_a6r0w1b0 -> ok +Test: t_wide_sp_tied_a6r1w0b0 -> ok +Test: t_wide_sp_tied_a6r0w1b1 -> ok +Test: t_wide_sp_tied_a6r0w2b0 -> ok +Test: t_wide_sp_tied_a7r1w1b1 -> ok +Test: t_wide_sp_tied_a6r3w0b0 -> ok +Test: t_wide_sp_tied_a6r4w0b0 -> ok Test: t_wide_sp_mix_a6r0w2b2 -> ok -Test: t_wide_sp_mix_a6r0w3b2 -> ok -Test: t_wide_sp_mix_a7r1w0b0 -> ok Test: t_wide_sp_mix_a6r0w4b2 -> ok -xprop_dffe_1ppd: ok -Test: t_wide_sp_mix_a7r0w0b0 -> ok -xprop_dffe_1ppd: ok -Test: t_wide_sp_mix_a7r2w0b0 -> ok Test: t_wide_sp_mix_a7r3w0b0 -> ok -Test: t_wide_sp_mix_a6r0w5b2 -> ok -Test: t_wide_sp_mix_a7r4w0b0 -> ok -xprop_dffe_3pnd: ok -xprop_dffe_3pnd: ok -Test: t_wide_sp_mix_a7r5w0b0 -> ok -Test: t_wide_sp_mix_a7r0w1b0 -> ok -Test: t_wide_sp_mix_a7r0w1b1 -> ok -Test: t_wide_sp_mix_a7r0w2b0 -> ok -Test: t_wide_sp_mix_a7r0w2b2 -> ok +Test: t_wide_sp_tied_a6r0w1b0 -> ok Test: t_wide_sp_mix_a7r0w3b2 -> ok +Test: t_wide_sp_mix_a6r0w3b2 -> ok +Test: t_wide_sp_mix_a7r0w1b0 -> ok Test: t_wide_sp_tied_a6r1w1b1 -> ok -Test: t_wide_sp_tied_a7r1w1b1 -> ok -Test: t_wide_sp_mix_a7r0w4b2 -> ok -xprop_dffe_1npd: ok -xprop_dffe_1npd: ok -Test: t_wide_sp_tied_a8r1w1b1 -> ok -Test: t_wide_sp_mix_a7r0w5b2 -> ok +Test: t_wide_sp_mix_a7r4w0b0 -> ok +Test: t_wide_sp_tied_a6r0w2b2 -> ok Test: t_wide_sp_tied_a6r0w0b0 -> ok -Test: t_wide_sp_tied_a6r1w0b0 -> ok -Test: t_wide_sp_tied_a6r3w0b0 -> ok +Test: t_wide_sp_mix_a7r0w2b0 -> ok +Test: t_wide_sp_tied_a7r0w0b0 -> ok +Test: t_wide_sp_tied_a6r0w3b2 -> ok Test: t_wide_sp_tied_a6r2w0b0 -> ok -xprop_dffe_3nnd: ok -xprop_dffe_3nnd: ok -Test: t_wide_sp_tied_a6r0w1b0 -> ok -Test: t_wide_sp_tied_a6r0w2b0 -> ok -Test: t_wide_sp_tied_a6r4w0b0 -> ok +Test: t_wide_sp_mix_a6r5w0b0 -> ok +Test: t_wide_sp_mix_a7r0w1b1 -> ok +Test: t_wide_sp_mix_a7r1w0b0 -> ok Test: t_wide_sp_tied_a6r5w0b0 -> ok -Test: t_wide_sp_tied_a6r0w2b2 -> ok -Test: t_wide_sp_tied_a6r0w1b1 -> ok +Test: t_wide_sp_mix_a7r5w0b0 -> ok +Test: t_wide_sp_mix_a7r0w4b2 -> ok +Test: t_wide_sp_mix_a6r0w2b0 -> ok Test: t_wide_sp_tied_a6r0w4b2 -> ok -Test: t_wide_sp_tied_a7r0w0b0 -> ok +Test: t_wide_sp_mix_a7r0w5b2 -> ok +Test: t_wide_sp_mix_a7r2w0b0 -> ok +Test: t_wide_sp_mix_a6r0w5b2 -> ok +Test: t_wide_sp_tied_a6r0w5b2 -> ok Test: t_wide_sp_tied_a7r1w0b0 -> ok -xprop_dffe_3ppd: ok +Test: t_wide_write_a7r1w1b1 -> ok +Test: t_wide_read_a6r1w1b1 -> ok +Test: t_wide_read_a6r0w0b0 -> ok Test: t_wide_sp_tied_a7r2w0b0 -> ok -xprop_dffe_3ppd: ok -Test: t_wide_sp_tied_a6r0w3b2 -> ok -Test: t_wide_sp_tied_a6r0w5b2 -> ok -xprop_dffe_3npd: ok -Test: t_wide_sp_tied_a7r3w0b0 -> ok -xprop_dffe_3npd: ok -done -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/xprop' -...passed tests in tests/xprop -Test: t_wide_sp_tied_a7r0w1b1 -> ok -Test: t_wide_sp_tied_a7r0w1b0 -> ok -Test: t_wide_sp_tied_a7r5w0b0 -> ok -Test: t_wide_sp_tied_a7r4w0b0 -> ok -Test: t_wide_write_a6r1w1b1 -> ok -Test: t_wide_sp_tied_a7r0w2b2 -> ok -Test: t_wide_sp_tied_a7r0w2b0 -> ok Test: t_wide_read_a7r1w1b1 -> ok -Test: t_wide_read_a6r1w1b1 -> ok -Test: t_wide_write_a7r1w1b1 -> ok -Test: t_wide_sp_tied_a7r0w3b2 -> ok -Test: t_wide_sp_tied_a7r0w4b2 -> ok +Test: t_wide_write_a6r1w1b1 -> ok +Test: t_wide_read_a6r3w0b0 -> ok Test: t_wide_read_a8r1w1b1 -> ok -Test: t_wide_write_a8r1w1b1 -> ok +Test: t_wide_sp_tied_a7r0w1b0 -> ok +Test: t_wide_read_a6r0w1b1 -> ok +Test: t_wide_write_a6r0w2b0 -> ok Test: t_wide_write_a6r0w0b0 -> ok -Test: t_wide_read_a6r0w0b0 -> ok +Test: t_wide_write_a6r0w1b1 -> ok Test: t_wide_read_a6r1w0b0 -> ok -Passed xilinx-blockram.ys -Test: t_wide_sp_tied_a7r0w5b2 -> ok -Test: t_wide_read_a6r3w0b0 -> ok +Test: t_wide_sp_tied_a7r3w0b0 -> ok +Test: t_wide_sp_tied_a7r0w3b2 -> ok +Test: t_wide_read_a6r0w2b0 -> ok +Test: t_wide_sp_tied_a7r0w2b2 -> ok Test: t_wide_read_a6r2w0b0 -> ok +Test: t_wide_read_a6r0w2b2 -> ok +Test: t_wide_sp_tied_a7r0w1b1 -> ok +Test: t_wide_write_a8r1w1b1 -> ok +Test: t_wide_write_a6r2w0b0 -> ok Test: t_wide_write_a6r1w0b0 -> ok Test: t_wide_read_a6r4w0b0 -> ok +Test: t_wide_sp_tied_a7r4w0b0 -> ok +Test: t_wide_write_a6r0w1b0 -> ok +Test: t_wide_sp_tied_a7r0w2b0 -> ok Test: t_wide_write_a6r3w0b0 -> ok -Test: t_wide_write_a6r2w0b0 -> ok +Test: t_wide_sp_tied_a7r0w5b2 -> ok Test: t_wide_read_a6r5w0b0 -> ok -Test: t_wide_read_a6r0w1b0 -> ok -Test: t_wide_write_a6r0w1b0 -> ok -Test: t_wide_write_a6r0w1b1 -> ok -Test: t_wide_read_a6r0w1b1 -> ok Test: t_wide_write_a6r4w0b0 -> ok -Test: t_wide_read_a6r0w2b2 -> ok -Test: t_wide_read_a6r0w2b0 -> ok -Test: t_wide_write_a6r0w2b0 -> ok -Test: t_wide_write_a6r0w2b2 -> ok Test: t_wide_write_a6r5w0b0 -> ok +Test: t_wide_sp_tied_a7r0w4b2 -> ok +Test: t_wide_sp_tied_a7r5w0b0 -> ok +Test: t_wide_write_a6r0w2b2 -> ok Test: t_wide_read_a6r0w3b2 -> ok +Test: t_wide_read_a6r0w1b0 -> ok Test: t_wide_write_a6r0w3b2 -> ok -Test: t_wide_read_a7r1w0b0 -> ok Test: t_wide_read_a6r0w4b2 -> ok -Test: t_wide_write_a7r2w0b0 -> ok -Test: t_wide_read_a7r2w0b0 -> ok -Test: t_wide_write_a6r0w5b2 -> ok -Test: t_wide_read_a7r0w0b0 -> ok -Test: t_wide_write_a7r1w0b0 -> ok +Passed xilinx-dsp_cascade.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/xilinx' +...passed tests in tests/arch/xilinx +Test: t_wide_oct_a4w2r1 -> ok Test: t_wide_write_a7r0w0b0 -> ok -Test: t_wide_write_a6r0w4b2 -> ok -Test: t_wide_write_a7r3w0b0 -> ok -Test: t_wide_read_a6r0w5b2 -> ok -Test: t_wide_read_a7r3w0b0 -> ok -Test: t_wide_read_a7r5w0b0 -> ok -Test: t_wide_read_a7r4w0b0 -> ok -Test: t_wide_write_a7r4w0b0 -> ok Test: t_wide_read_a7r0w2b0 -> ok Test: t_wide_read_a7r0w1b0 -> ok +Test: t_wide_write_a7r0w2b0 -> ok Test: t_wide_write_a7r0w1b1 -> ok -Test: t_wide_write_a7r0w2b2 -> ok -Test: t_wide_write_a7r5w0b0 -> ok -Test: t_wide_read_a7r0w1b1 -> ok +Test: t_quad_port_a4d2 -> ok +Test: t_wide_read_a7r1w0b0 -> ok Test: t_wide_read_a7r0w2b2 -> ok +Test: t_wide_write_a7r0w3b2 -> ok +Test: t_wide_write_a7r5w0b0 -> ok +Test: t_quad_port_a6d2 -> ok Test: t_wide_read_a7r0w3b2 -> ok Test: t_wide_write_a7r0w1b0 -> ok -Test: t_wide_write_a7r0w2b0 -> ok -Test: t_wide_write_a7r0w3b2 -> ok +Test: t_wide_read_a7r0w0b0 -> ok +Test: t_wide_read_a7r3w0b0 -> ok +Test: t_wide_write_a7r2w0b0 -> ok +Test: t_wide_write_a7r1w0b0 -> ok +Test: t_quad_port_a4d8 -> ok +Test: t_wide_read_a7r2w0b0 -> ok +Test: t_wide_write_a7r4w0b0 -> ok +Test: t_wide_read_a7r0w5b2 -> ok +Test: t_quad_port_a5d2 -> ok +Test: t_wide_write_a7r0w2b2 -> ok Test: t_wide_write_a7r0w4b2 -> ok +Test: t_quad_port_a4d4 -> ok +Test: t_wide_quad_a4w2r2 -> ok +Test: t_wide_write_a6r0w4b2 -> ok +Test: t_wide_write_a7r3w0b0 -> ok Test: t_wide_read_a7r0w4b2 -> ok -Test: t_quad_port_a2d2 -> ok -Test: t_quad_port_a4d2 -> ok -Test: t_wide_oct_a4w2r1 -> ok -Test: t_wide_quad_a4w2r1 -> ok -Test: t_quad_port_a6d2 -> ok -Test: t_wide_write_a7r0w5b2 -> ok +Passed ice40-memories.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/ice40' +...passed tests in tests/arch/ice40 +Test: t_wide_read_a7r5w0b0 -> ok +Test: t_wide_read_a7r0w1b1 -> ok +Test: t_wide_read_a7r4w0b0 -> ok Test: t_wide_oct_a4w2r2 -> ok -Test: t_quad_port_a4d8 -> ok -Test: t_quad_port_a4d4 -> ok -Test: t_quad_port_a5d2 -> ok +Test: t_wide_write_a6r0w5b2 -> ok +Test: t_wide_read_a6r0w5b2 -> ok +Test: t_wide_write_a7r0w5b2 -> ok +Test: t_wide_quad_a4w2r1 -> ok +Test: t_quad_port_a2d2 -> ok Test: t_wide_quad_a4w2r3 -> ok -Test: t_wide_quad_a4w2r2 -> ok -Test: t_wide_oct_a4w2r3 -> ok -Test: t_wide_quad_a4w2r4 -> ok -Test: t_wide_read_a7r0w5b2 -> ok +Test: t_wide_oct_a4w2r7 -> ok +Test: t_wide_quad_a4w2r7 -> ok +Test: t_wide_quad_a4w2r9 -> ok Test: t_wide_quad_a4w2r5 -> ok +Test: t_wide_quad_a4w2r4 -> ok Test: t_wide_oct_a4w2r4 -> ok -Test: t_wide_oct_a4w2r6 -> ok -Test: t_wide_oct_a4w2r5 -> ok Test: t_wide_oct_a4w2r8 -> ok -Test: t_wide_quad_a4w2r7 -> ok -Test: t_wide_oct_a4w2r7 -> ok -Test: t_wide_quad_a4w2r6 -> ok -Test: t_wide_quad_a4w2r9 -> ok +Test: t_wide_oct_a4w2r3 -> ok Test: t_wide_oct_a4w4r1 -> ok -Test: t_wide_quad_a4w4r1 -> ok +Test: t_wide_oct_a5w2r9 -> ok +Test: t_gclken -> ok +Test: t_ungated -> ok +Test: t_transwr -> ok +Test: t_wide_oct_a5w2r4 -> ok Test: t_wide_quad_a4w2r8 -> ok Test: t_wide_quad_a4w4r4 -> ok +Test: t_wide_oct_a5w2r1 -> ok Test: t_wide_oct_a4w4r4 -> ok -Test: t_wide_oct_a4w2r9 -> ok +Test: t_wide_quad_a4w4r1 -> ok +Test: t_grden_ce -> ok Test: t_wide_quad_a4w4r6 -> ok +Test: t_wide_oct_a4w2r9 -> ok +Test: t_trans_byte -> ok +Test: t_grden -> ok +Test: t_exclwr -> ok Test: t_wide_oct_a4w4r6 -> ok Test: t_wide_quad_a4w4r9 -> ok +Test: t_excl_rst -> ok +Test: t_wide_quad_a4w2r6 -> ok +Test: t_gclken_ce -> ok +Test: t_no_reset -> ok +Test: t_wide_quad_a5w2r4 -> ok +Test: t_trans_rst -> ok +Test: t_wide_oct_a4w2r5 -> ok Test: t_wide_quad_a5w2r1 -> ok -Test: t_wide_oct_a5w2r1 -> ok Test: t_wide_oct_a4w4r9 -> ok -Test: t_wide_quad_a5w2r4 -> ok -Test: t_wide_oct_a5w2r9 -> ok -Test: t_wide_oct_a5w2r4 -> ok Test: t_wide_quad_a5w2r9 -> ok -Test: t_gclken -> ok -Test: t_ungated -> ok -Passed xilinx-mux.ys -Test: t_no_reset -> ok -Test: t_gclken_ce -> ok -Test: t_grden -> ok -Test: t_grden_ce -> ok -Test: t_exclwr -> ok -Test: t_transwr -> ok -Test: t_rom_case -> ok -Test: t_excl_rst -> ok -Test: t_trans_byte -> ok -Test: t_trans_rst -> ok -Test: t_rst_wr_byte -> ok -Test: t_wr_byte -> ok Test: t_wr_rst_byte -> ok +Test: t_wide_oct_a4w2r6 -> ok +Test: t_wr_byte -> ok +Test: t_rst_wr_byte -> ok Test: t_rdenrst_wr_byte -> ok Test: t_rom_case_block -> ok +Test: t_rom_case -> ok make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/memlib' ...passed tests in tests/memlib -Warning: Resizing cell port sp_write_first.mem.0.0.BWE_A from 8 bits to 9 bits. -Warning: Resizing cell port sp_read_first.mem.0.0.BWE_B from 8 bits to 9 bits. -Passed xilinx-priority_memory.ys -Warning: Shift register inference not yet supported for family xc3s. -Passed xilinx-lutram.ys -Passed xilinx-dsp_cascade.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/arch/xilinx' -...passed tests in tests/arch/xilinx Test: partsel -> ok make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/tests/simple_abc9' ...passed tests in tests/simple_abc9 @@ -65070,7 +65100,7 @@ Passed "make test". make[2]: Leaving directory '/build/reproducible-path/yosys-0.51' - rm -fr -- /tmp/dh-xdg-rundir-ecpQvMzi + rm -fr -- /tmp/dh-xdg-rundir-FSxcf1G3 make[1]: Leaving directory '/build/reproducible-path/yosys-0.51' create-stamp debian/debhelper-build-stamp dh_prep @@ -65078,7 +65108,7 @@ rm -fr -- debian/.debhelper/generated/yosys/ debian/yosys/ debian/tmp/ debian/.debhelper/generated/yosys-dev/ debian/yosys-dev/ debian/.debhelper/generated/yosys-abc/ debian/yosys-abc/ debian/.debhelper/generated/yosys-doc/ debian/yosys-doc/ dh_auto_install install -m0755 -d /build/reproducible-path/yosys-0.51/debian/tmp - make -j20 install DESTDIR=/build/reproducible-path/yosys-0.51/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" + make -j42 install DESTDIR=/build/reproducible-path/yosys-0.51/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[1]: Entering directory '/build/reproducible-path/yosys-0.51' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: @@ -65126,15 +65156,15 @@ install -m0755 -d debian/yosys-doc/usr/share/doc-base/ install -p -m0644 debian/yosys-doc.doc-base debian/yosys-doc/usr/share/doc-base/yosys-doc.yosys-manual dh_installchangelogs + install -m0755 -d debian/yosys-abc/usr/share/doc/yosys-abc + install -p -m0644 debian/.debhelper/generated/yosys-abc/dh_installchangelogs.dch.trimmed debian/yosys-abc/usr/share/doc/yosys-abc/changelog.Debian + install -p -m0644 ./CHANGELOG debian/yosys-abc/usr/share/doc/yosys-abc/changelog install -m0755 -d debian/yosys/usr/share/doc/yosys install -p -m0644 debian/.debhelper/generated/yosys/dh_installchangelogs.dch.trimmed debian/yosys/usr/share/doc/yosys/changelog.Debian install -p -m0644 ./CHANGELOG debian/yosys/usr/share/doc/yosys/changelog install -m0755 -d debian/yosys-dev/usr/share/doc/yosys-dev install -p -m0644 debian/.debhelper/generated/yosys-dev/dh_installchangelogs.dch.trimmed debian/yosys-dev/usr/share/doc/yosys-dev/changelog.Debian install -p -m0644 ./CHANGELOG debian/yosys-dev/usr/share/doc/yosys-dev/changelog - install -m0755 -d debian/yosys-abc/usr/share/doc/yosys-abc - install -p -m0644 debian/.debhelper/generated/yosys-abc/dh_installchangelogs.dch.trimmed debian/yosys-abc/usr/share/doc/yosys-abc/changelog.Debian - install -p -m0644 ./CHANGELOG debian/yosys-abc/usr/share/doc/yosys-abc/changelog install -m0755 -d debian/yosys-doc/usr/share/doc/yosys-doc install -p -m0644 debian/.debhelper/generated/yosys-doc/dh_installchangelogs.dch.trimmed debian/yosys-doc/usr/share/doc/yosys-doc/changelog.Debian install -p -m0644 ./CHANGELOG debian/yosys-doc/usr/share/doc/yosys-doc/changelog @@ -65151,28 +65181,28 @@ install -p -m0644 ./debian/man/yosys-smtbmc.1 debian/yosys/usr/share/man/man1/yosys-smtbmc.1 install -m0755 -d debian/yosys/usr/share/man/man1/ install -p -m0644 ./debian/man/yosys-witness.1 debian/yosys/usr/share/man/man1/yosys-witness.1 - install -m0755 -d debian/yosys-dev/usr/share/man/man1/ - install -p -m0644 ./debian/yosys-config.1 debian/yosys-dev/usr/share/man/man1/yosys-config.1 install -m0755 -d debian/yosys-abc/usr/share/man/man1/ install -p -m0644 ./debian/man/yosys-abc.1 debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 + install -m0755 -d debian/yosys-dev/usr/share/man/man1/ + install -p -m0644 ./debian/yosys-config.1 debian/yosys-dev/usr/share/man/man1/yosys-config.1 man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 + man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys.1 + man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1 man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 - mv debian/yosys-dev/usr/share/man/man1/yosys-config.1.dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 - chmod 0644 -- debian/yosys-dev/usr/share/man/man1/yosys-config.1 + man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 + mv debian/yosys/usr/share/man/man1/yosys-witness.1.dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 + chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-witness.1 + mv debian/yosys/usr/share/man/man1/yosys-filterlib.1.dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 + chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-filterlib.1 mv debian/yosys-abc/usr/share/man/man1/yosys-abc.1.dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1 chmod 0644 -- debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - mv debian/yosys/usr/share/man/man1/yosys.1.dh-new debian/yosys/usr/share/man/man1/yosys.1 - chmod 0644 -- debian/yosys/usr/share/man/man1/yosys.1 mv debian/yosys/usr/share/man/man1/yosys-smtbmc.1.dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1 chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-smtbmc.1 - mv debian/yosys/usr/share/man/man1/yosys-filterlib.1.dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 - chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-filterlib.1 - mv debian/yosys/usr/share/man/man1/yosys-witness.1.dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 - chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-witness.1 + mv debian/yosys-dev/usr/share/man/man1/yosys-config.1.dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 + chmod 0644 -- debian/yosys-dev/usr/share/man/man1/yosys-config.1 + mv debian/yosys/usr/share/man/man1/yosys.1.dh-new debian/yosys/usr/share/man/man1/yosys.1 + chmod 0644 -- debian/yosys/usr/share/man/man1/yosys.1 dh_python3 D: dh_python3 dh_python3:180: version: 6.20250308 D: dh_python3 dh_python3:181: argv: ['/usr/bin/dh_python3'] @@ -65182,9 +65212,9 @@ D: dh_python3 debhelper:166: skipping package yosys-doc (missing ${python3:Depends} in Depends/Recommends) D: dh_python3 debhelper:174: source=yosys, binary packages=['yosys', 'yosys-dev'] D: dh_python3 dh_python3:205: processing package yosys... -I: dh_python3 tools:113: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc I: dh_python3 tools:113: replacing shebang in debian/yosys/usr/bin/yosys-witness D: dh_python3 tools:101: fix_shebang (debian/yosys/usr/bin/yosys-filterlib): cannot parse binary file +I: dh_python3 tools:113: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc D: dh_python3 tools:101: fix_shebang (debian/yosys/usr/bin/yosys): cannot parse binary file D: dh_python3 fs:338: package yosys details = {'requires.txt': set(), 'egg-info': set(), 'dist-info': set(), 'nsp.txt': set(), 'shebangs': {/usr/bin/python3, /usr/bin/python3}, 'public_vers': set(), 'private_dirs': {'/usr/share/yosys': {'compile': True}}, 'compile': False, 'ext_vers': set(), 'ext_no_version': set()} D: dh_python3 depends:103: generating dependencies for package yosys @@ -65204,17 +65234,17 @@ make[1]: Entering directory '/build/reproducible-path/yosys-0.51' dh_compress --exclude=.pdf cd debian/yosys - cd debian/yosys-doc cd debian/yosys-dev cd debian/yosys-abc - chmod a-x usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian + cd debian/yosys-doc chmod a-x usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1 chmod a-x usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 + chmod a-x usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian chmod a-x usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 - gzip -9nf usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 - gzip -9nf usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 - gzip -9nf usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian gzip -9nf usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1 + gzip -9nf usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian + gzip -9nf usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 + gzip -9nf usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 cd '/build/reproducible-path/yosys-0.51' cd '/build/reproducible-path/yosys-0.51' cd '/build/reproducible-path/yosys-0.51' @@ -65225,23 +65255,23 @@ find debian/yosys-dev ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/yosys-abc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/yosys-doc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s + find debian/yosys-dev/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-dev/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-abc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-abc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-doc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-doc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-dev/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys-abc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/yosys-dev/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 + find debian/yosys-abc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/yosys-doc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/yosys/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/yosys-dev/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-abc/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-doc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x + find debian/yosys/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-abc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/yosys-dev -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-abc/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x + find debian/yosys-dev/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/yosys/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x dh_missing dh_dwz -a @@ -65254,10 +65284,10 @@ dh_dwz: warning: compressed debug information (#931891). rmdir -p --ignore-fail-on-non-empty debian/yosys/usr/lib/debug/.dwz/x86_64-linux-gnu dh_strip -a - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/92 - objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys-filterlib debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/92/8b7450d0e10e12516b96c02b080678ca69870d.debug install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/9f objcopy --only-keep-debug --compress-debug-sections debian/yosys-abc/usr/bin/yosys-abc debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/9f/f194c9aed986939a65be54f81f6393e7b572e9.debug + install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/92 + objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys-filterlib debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/92/8b7450d0e10e12516b96c02b080678ca69870d.debug chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/92/8b7450d0e10e12516b96c02b080678ca69870d.debug strip --remove-section=.comment --remove-section=.note debian/yosys/usr/bin/yosys-filterlib objcopy --add-gnu-debuglink debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/92/8b7450d0e10e12516b96c02b080678ca69870d.debug debian/yosys/usr/bin/yosys-filterlib @@ -65285,14 +65315,14 @@ install -m0755 -d debian/yosys/DEBIAN dpkg-shlibdeps -Tdebian/yosys.substvars debian/yosys/usr/bin/yosys-filterlib debian/yosys/usr/bin/yosys dpkg-shlibdeps: warning: diversions involved - output may be incorrect +dpkg-shlibdeps: warning: diversions involved - output may be incorrect + diversion by libreadline8t64 from: /lib/x86_64-linux-gnu/libreadline.so.8 diversion by libreadline8t64 from: /lib/x86_64-linux-gnu/libreadline.so.8 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libreadline8t64 to: /lib/x86_64-linux-gnu/libreadline.so.8.usr-is-merged -dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/yosys-abc/usr/bin/yosys-abc was not linked against libgcc_s.so.1 (it uses none of the library's symbols) -dpkg-shlibdeps: warning: diversions involved - output may be incorrect - diversion by libreadline8t64 from: /lib/x86_64-linux-gnu/libreadline.so.8 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libreadline8t64 to: /lib/x86_64-linux-gnu/libreadline.so.8.usr-is-merged +dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/yosys-abc/usr/bin/yosys-abc was not linked against libgcc_s.so.1 (it uses none of the library's symbols) dh_installdeb install -m0755 -d debian/yosys/DEBIAN printf '#!/bin/sh\nset -e\n' > debian/yosys/DEBIAN/postinst @@ -65305,6 +65335,10 @@ install -m0755 -d debian/yosys-abc/DEBIAN install -m0755 -d debian/yosys-doc/DEBIAN dh_gencontrol + install -m0755 -d debian/yosys-dev/DEBIAN + echo misc:Depends= >> debian/yosys-dev.substvars + echo misc:Pre-Depends= >> debian/yosys-dev.substvars + dpkg-gencontrol -pyosys-dev -ldebian/changelog -Tdebian/yosys-dev.substvars -cdebian/control -Pdebian/yosys-dev install -m0755 -d debian/yosys/DEBIAN echo misc:Depends= >> debian/yosys.substvars echo misc:Pre-Depends= >> debian/yosys.substvars @@ -65319,18 +65353,14 @@ echo misc:Pre-Depends= >> debian/yosys-abc.substvars install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -cdebian/control -Pdebian/.debhelper/yosys-abc/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=yosys-abc-dbgsym "-DDepends=yosys-abc (= \${binary:Version})" "-DDescription=debug symbols for yosys-abc" -DBuild-Ids=9ff194c9aed986939a65be54f81f6393e7b572e9 -DSection=debug -UMulti-Arch -UReplaces -UBreaks - install -m0755 -d debian/yosys-dev/DEBIAN - echo misc:Depends= >> debian/yosys-dev.substvars - echo misc:Pre-Depends= >> debian/yosys-dev.substvars - dpkg-gencontrol -pyosys-dev -ldebian/changelog -Tdebian/yosys-dev.substvars -cdebian/control -Pdebian/yosys-dev - chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/control - dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -cdebian/control -Pdebian/yosys - chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN/control - dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -cdebian/control -Pdebian/yosys-abc dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${shlibs:Depends} used, but is not defined dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined chmod 0644 -- debian/yosys-doc/DEBIAN/control chmod 0644 -- debian/yosys-dev/DEBIAN/control + chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/control + dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -cdebian/control -Pdebian/yosys + chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN/control + dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -cdebian/control -Pdebian/yosys-abc chmod 0644 -- debian/yosys/DEBIAN/control chmod 0644 -- debian/yosys-abc/DEBIAN/control dh_md5sums @@ -65355,15 +65385,15 @@ dh_builddeb dpkg-deb --root-owner-group --build debian/yosys .. dpkg-deb --root-owner-group --build debian/.debhelper/yosys/dbgsym-root .. - dpkg-deb --root-owner-group --build debian/yosys-abc .. dpkg-deb --root-owner-group --build debian/yosys-dev .. + dpkg-deb --root-owner-group --build debian/yosys-abc .. dpkg-deb --root-owner-group --build debian/.debhelper/yosys-abc/dbgsym-root .. dpkg-deb --root-owner-group --build debian/yosys-doc .. -dpkg-deb: building package 'yosys' in '../yosys_0.51-1_amd64.deb'. -dpkg-deb: building package 'yosys-abc-dbgsym' in '../yosys-abc-dbgsym_0.51-1_amd64.deb'. dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.51-1_amd64.deb'. -dpkg-deb: building package 'yosys-abc' in '../yosys-abc_0.51-1_amd64.deb'. dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.51-1_amd64.deb'. +dpkg-deb: building package 'yosys-abc' in '../yosys-abc_0.51-1_amd64.deb'. +dpkg-deb: building package 'yosys' in '../yosys_0.51-1_amd64.deb'. +dpkg-deb: building package 'yosys-abc-dbgsym' in '../yosys-abc-dbgsym_0.51-1_amd64.deb'. dpkg-deb: building package 'yosys-doc' in '../yosys-doc_0.51-1_all.deb'. dpkg-genbuildinfo --build=binary -O../yosys_0.51-1_amd64.buildinfo dpkg-genchanges --build=binary -O../yosys_0.51-1_amd64.changes @@ -65373,12 +65403,14 @@ dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: including full source code in upload I: copying local configuration +I: user script /srv/workspace/pbuilder/840914/tmp/hooks/B01_cleanup starting +I: user script /srv/workspace/pbuilder/840914/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env -I: removing directory /srv/workspace/pbuilder/1320837 and its subdirectories -I: Current time: Tue Mar 18 21:12:51 -12 2025 -I: pbuilder-time-stamp: 1742375571 +I: removing directory /srv/workspace/pbuilder/840914 and its subdirectories +I: Current time: Wed Apr 22 06:19:56 +14 2026 +I: pbuilder-time-stamp: 1776788396